0s autopkgtest [07:50:25]: starting date and time: 2024-03-19 07:50:25+0000 0s autopkgtest [07:50:25]: git checkout: b506e79c ssh-setup/nova: fix ARCH having two lines of data 0s autopkgtest [07:50:25]: host juju-7f2275-prod-proposed-migration-environment-2; command line: /home/ubuntu/autopkgtest/runner/autopkgtest --output-dir /tmp/autopkgtest-work.c5k7x7k3/out --timeout-copy=6000 --setup-commands /home/ubuntu/autopkgtest-cloud/worker-config-production/setup-canonical.sh --apt-pocket=proposed=src:perl,src:db5.3,src:gdbm,src:mmdebstrap --apt-upgrade libverilog-perl --timeout-short=300 --timeout-copy=20000 --timeout-build=20000 '--env=ADT_TEST_TRIGGERS=perl/5.38.2-3.2 db5.3/5.3.28+dfsg2-5 gdbm/1.23-5.1 mmdebstrap/1.4.3-6' -- ssh -s /home/ubuntu/autopkgtest/ssh-setup/nova -- --flavor autopkgtest --security-groups autopkgtest-juju-7f2275-prod-proposed-migration-environment-2@bos02-s390x-7.secgroup --name adt-noble-s390x-libverilog-perl-20240319-075024-juju-7f2275-prod-proposed-migration-environment-2 --image adt/ubuntu-noble-s390x-server --keyname testbed-juju-7f2275-prod-proposed-migration-environment-2 --net-id=net_prod-proposed-migration -e TERM=linux -e ''"'"'http_proxy=http://squid.internal:3128'"'"'' -e ''"'"'https_proxy=http://squid.internal:3128'"'"'' -e ''"'"'no_proxy=127.0.0.1,127.0.1.1,login.ubuntu.com,localhost,localdomain,novalocal,internal,archive.ubuntu.com,ports.ubuntu.com,security.ubuntu.com,ddebs.ubuntu.com,changelogs.ubuntu.com,launchpadlibrarian.net,launchpadcontent.net,launchpad.net,10.24.0.0/24,keystone.ps5.canonical.com,objectstorage.prodstack5.canonical.com'"'"'' --mirror=http://ftpmaster.internal/ubuntu/ 276s autopkgtest [07:55:01]: testbed dpkg architecture: s390x 276s autopkgtest [07:55:01]: testbed apt version: 2.7.12 276s autopkgtest [07:55:01]: @@@@@@@@@@@@@@@@@@@@ test bed setup 276s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] 277s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [486 kB] 277s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [6540 B] 277s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [3752 kB] 277s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [53.7 kB] 277s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main s390x Packages [642 kB] 277s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main s390x c-n-f Metadata [3032 B] 277s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x Packages [1372 B] 277s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x c-n-f Metadata [116 B] 277s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x Packages [3946 kB] 278s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x c-n-f Metadata [7292 B] 278s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x Packages [34.6 kB] 278s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x c-n-f Metadata [116 B] 279s Fetched 9050 kB in 3s (3585 kB/s) 280s Reading package lists... 280s Hit:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease 281s Reading package lists... 286s Reading package lists... 286s Building dependency tree... 286s Reading state information... 286s Calculating upgrade... 286s The following packages were automatically installed and are no longer required: 286s fuse3 libfuse3-3 libgdbm-compat4t64 libperl5.38 lto-disabled-list make 286s perl-modules-5.38 s390-tools-data s390-tools-signed 286s Use 'sudo apt autoremove' to remove them. 286s The following packages will be REMOVED: 286s dpkg-dev libdpkg-perl libgdbm-compat4 libgdbm6 perl s390-tools 286s sysconfig-hardware 286s The following NEW packages will be installed: 286s libgdbm-compat4t64 libgdbm6t64 286s The following packages will be upgraded: 286s perl-base perl-modules-5.38 287s 2 upgraded, 2 newly installed, 7 to remove and 0 not upgraded. 287s Need to get 5114 kB of archives. 287s After this operation, 15.7 MB disk space will be freed. 287s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main s390x perl-base s390x 5.38.2-3.2 [1961 kB] 287s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgdbm6t64 s390x 1.23-5.1 [36.4 kB] 287s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgdbm-compat4t64 s390x 1.23-5.1 [6880 B] 287s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main s390x perl-modules-5.38 all 5.38.2-3.2 [3110 kB] 288s Fetched 5114 kB in 1s (5531 kB/s) 288s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 52187 files and directories currently installed.) 288s Removing sysconfig-hardware (0.0.14ubuntu2) ... 288s Removing s390-tools (2.31.0-0ubuntu1) ... 288s Removing dpkg-dev (1.22.4ubuntu5) ... 288s Removing libdpkg-perl (1.22.4ubuntu5) ... 288s Removing perl (5.38.2-3) ... 288s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51348 files and directories currently installed.) 288s Preparing to unpack .../perl-base_5.38.2-3.2_s390x.deb ... 288s Unpacking perl-base (5.38.2-3.2) over (5.38.2-3) ... 288s Setting up perl-base (5.38.2-3.2) ... 288s dpkg: libgdbm6:s390x: dependency problems, but removing anyway as you requested: 288s python3-gdbm:s390x depends on libgdbm6 (>= 1.16). 288s man-db depends on libgdbm6 (>= 1.16). 288s libperl5.38:s390x depends on libgdbm6 (>= 1.21). 288s libgdbm-compat4:s390x depends on libgdbm6 (>= 1.16). 288s 289s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51348 files and directories currently installed.) 289s Removing libgdbm6:s390x (1.23-5) ... 289s Selecting previously unselected package libgdbm6t64:s390x. 289s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51343 files and directories currently installed.) 289s Preparing to unpack .../libgdbm6t64_1.23-5.1_s390x.deb ... 289s Unpacking libgdbm6t64:s390x (1.23-5.1) ... 289s dpkg: libgdbm-compat4:s390x: dependency problems, but removing anyway as you requested: 289s libperl5.38:s390x depends on libgdbm-compat4 (>= 1.18-3). 289s 289s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51349 files and directories currently installed.) 289s Removing libgdbm-compat4:s390x (1.23-5) ... 289s Selecting previously unselected package libgdbm-compat4t64:s390x. 289s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51344 files and directories currently installed.) 289s Preparing to unpack .../libgdbm-compat4t64_1.23-5.1_s390x.deb ... 289s Unpacking libgdbm-compat4t64:s390x (1.23-5.1) ... 289s Preparing to unpack .../perl-modules-5.38_5.38.2-3.2_all.deb ... 289s Unpacking perl-modules-5.38 (5.38.2-3.2) over (5.38.2-3) ... 289s Setting up libgdbm6t64:s390x (1.23-5.1) ... 289s Setting up libgdbm-compat4t64:s390x (1.23-5.1) ... 289s Setting up perl-modules-5.38 (5.38.2-3.2) ... 289s Processing triggers for libc-bin (2.39-0ubuntu2) ... 289s Processing triggers for man-db (2.12.0-3) ... 290s Processing triggers for initramfs-tools (0.142ubuntu20) ... 290s update-initramfs: Generating /boot/initrd.img-6.8.0-11-generic 290s W: No lz4 in /usr/bin:/sbin:/bin, using gzip 293s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 293s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 293s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 293s Reading package lists... 293s Building dependency tree... 293s Reading state information... 293s The following packages will be REMOVED: 293s fuse3* libfuse3-3* libgdbm-compat4t64* libperl5.38* lto-disabled-list* make* 293s perl-modules-5.38* s390-tools-data* s390-tools-signed* 293s 0 upgraded, 0 newly installed, 9 to remove and 0 not upgraded. 293s After this operation, 50.6 MB disk space will be freed. 293s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51350 files and directories currently installed.) 293s Removing fuse3 (3.14.0-5) ... 293s update-initramfs: deferring update (trigger activated) 293s Removing libfuse3-3:s390x (3.14.0-5) ... 293s Removing libperl5.38:s390x (5.38.2-3) ... 293s Removing libgdbm-compat4t64:s390x (1.23-5.1) ... 293s Removing lto-disabled-list (47) ... 293s Removing make (4.3-4.1build1) ... 293s Removing perl-modules-5.38 (5.38.2-3.2) ... 294s Removing s390-tools-data (2.31.0-0ubuntu1) ... 294s Removing s390-tools-signed (2.31.0-0ubuntu1) ... 294s Processing triggers for libc-bin (2.39-0ubuntu2) ... 294s Processing triggers for man-db (2.12.0-3) ... 294s Processing triggers for initramfs-tools (0.142ubuntu20) ... 294s update-initramfs: Generating /boot/initrd.img-6.8.0-11-generic 294s W: No lz4 in /usr/bin:/sbin:/bin, using gzip 297s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 49353 files and directories currently installed.) 297s Purging configuration files for fuse3 (3.14.0-5) ... 297s Hit:1 http://ftpmaster.internal/ubuntu noble InRelease 297s Hit:2 http://ftpmaster.internal/ubuntu noble-updates InRelease 298s Hit:3 http://ftpmaster.internal/ubuntu noble-security InRelease 298s Hit:4 http://ftpmaster.internal/ubuntu noble-proposed InRelease 298s Hit:5 http://ftpmaster.internal/ubuntu noble-backports InRelease 301s Reading package lists... 302s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1Reading package lists... 302s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 302s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 302s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 302s 302s Building dependency tree... 302s Reading state information... 302s Calculating upgrade... 302s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 302s Reading package lists... 302s Building dependency tree... 302s Reading state information... 302s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 303s autopkgtest [07:55:28]: rebooting testbed after setup commands that affected boot 333s autopkgtest [07:55:58]: testbed running kernel: Linux 6.8.0-11-generic #11-Ubuntu SMP Tue Feb 13 23:45:46 UTC 2024 336s autopkgtest [07:56:01]: @@@@@@@@@@@@@@@@@@@@ apt-source libverilog-perl 339s Reading package lists... 339s Building dependency tree... 339s Reading state information... 339s Starting pkgProblemResolver with broken count: 0 339s Starting 2 pkgProblemResolver with broken count: 0 339s Done 339s The following additional packages will be installed: 339s dpkg-dev libdb5.3t64 libdpkg-perl libgdbm-compat4t64 libperl5.38t64 339s lto-disabled-list make perl perl-modules-5.38 339s Suggested packages: 339s debian-keyring gcc | c-compiler git bzr make-doc perl-doc 339s libterm-readline-gnu-perl | libterm-readline-perl-perl 339s libtap-harness-archive-perl 339s Recommended packages: 339s build-essential gcc | c-compiler fakeroot libalgorithm-merge-perl 339s libfile-fcntllock-perl 339s The following packages will be REMOVED: 339s libdb5.3 339s The following NEW packages will be installed: 339s autopkgtest-satdep dpkg-dev libdb5.3t64 libdpkg-perl libgdbm-compat4t64 339s libperl5.38t64 lto-disabled-list make perl perl-modules-5.38 339s 0 upgraded, 10 newly installed, 1 to remove and 0 not upgraded. 339s Need to get 7542 kB/10.7 MB of archives. 339s After this operation, 54.4 MB of additional disk space will be used. 339s Get:1 /tmp/autopkgtest.PKdseL/1-autopkgtest-satdep.deb autopkgtest-satdep s390x 0 [704 B] 339s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libdb5.3t64 s390x 5.3.28+dfsg2-5build1 [763 kB] 340s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libperl5.38t64 s390x 5.38.2-3.2 [5007 kB] 340s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main s390x perl s390x 5.38.2-3.2 [231 kB] 340s Get:5 http://ftpmaster.internal/ubuntu noble/main s390x libdpkg-perl all 1.22.4ubuntu5 [268 kB] 340s Get:6 http://ftpmaster.internal/ubuntu noble/main s390x make s390x 4.3-4.1build1 [182 kB] 340s Get:7 http://ftpmaster.internal/ubuntu noble/main s390x lto-disabled-list all 47 [12.4 kB] 340s Get:8 http://ftpmaster.internal/ubuntu noble/main s390x dpkg-dev all 1.22.4ubuntu5 [1078 kB] 341s Fetched 7542 kB in 1s (7284 kB/s) 341s dpkg: libdb5.3:s390x: dependency problems, but removing anyway as you requested: 341s libsasl2-modules-db:s390x depends on libdb5.3. 341s libpython3.12-stdlib:s390x depends on libdb5.3. 341s libpython3.11-stdlib:s390x depends on libdb5.3. 341s libpam-modules:s390x depends on libdb5.3. 341s iproute2 depends on libdb5.3. 341s apt-utils depends on libdb5.3. 341s 341s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 49352 files and directories currently installed.) 341s Removing libdb5.3:s390x (5.3.28+dfsg2-4) ... 341s Selecting previously unselected package libdb5.3t64:s390x. 341s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 49346 files and directories currently installed.) 341s Preparing to unpack .../libdb5.3t64_5.3.28+dfsg2-5build1_s390x.deb ... 341s Unpacking libdb5.3t64:s390x (5.3.28+dfsg2-5build1) ... 341s Setting up libdb5.3t64:s390x (5.3.28+dfsg2-5build1) ... 341s Selecting previously unselected package perl-modules-5.38. 341s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 49352 files and directories currently installed.) 341s Preparing to unpack .../0-perl-modules-5.38_5.38.2-3.2_all.deb ... 341s Unpacking perl-modules-5.38 (5.38.2-3.2) ... 341s Selecting previously unselected package libgdbm-compat4t64:s390x. 341s Preparing to unpack .../1-libgdbm-compat4t64_1.23-5.1_s390x.deb ... 341s Unpacking libgdbm-compat4t64:s390x (1.23-5.1) ... 341s Selecting previously unselected package libperl5.38t64:s390x. 341s Preparing to unpack .../2-libperl5.38t64_5.38.2-3.2_s390x.deb ... 341s Unpacking libperl5.38t64:s390x (5.38.2-3.2) ... 341s Selecting previously unselected package perl. 341s Preparing to unpack .../3-perl_5.38.2-3.2_s390x.deb ... 341s Unpacking perl (5.38.2-3.2) ... 341s Selecting previously unselected package libdpkg-perl. 341s Preparing to unpack .../4-libdpkg-perl_1.22.4ubuntu5_all.deb ... 341s Unpacking libdpkg-perl (1.22.4ubuntu5) ... 341s Selecting previously unselected package make. 341s Preparing to unpack .../5-make_4.3-4.1build1_s390x.deb ... 341s Unpacking make (4.3-4.1build1) ... 341s Selecting previously unselected package lto-disabled-list. 341s Preparing to unpack .../6-lto-disabled-list_47_all.deb ... 341s Unpacking lto-disabled-list (47) ... 341s Selecting previously unselected package dpkg-dev. 341s Preparing to unpack .../7-dpkg-dev_1.22.4ubuntu5_all.deb ... 341s Unpacking dpkg-dev (1.22.4ubuntu5) ... 341s Selecting previously unselected package autopkgtest-satdep. 341s Preparing to unpack .../8-1-autopkgtest-satdep.deb ... 341s Unpacking autopkgtest-satdep (0) ... 342s Setting up lto-disabled-list (47) ... 342s Setting up libgdbm-compat4t64:s390x (1.23-5.1) ... 342s Setting up make (4.3-4.1build1) ... 342s Setting up perl-modules-5.38 (5.38.2-3.2) ... 342s Setting up libperl5.38t64:s390x (5.38.2-3.2) ... 342s Setting up perl (5.38.2-3.2) ... 342s Setting up libdpkg-perl (1.22.4ubuntu5) ... 342s Setting up dpkg-dev (1.22.4ubuntu5) ... 342s Setting up autopkgtest-satdep (0) ... 342s Processing triggers for man-db (2.12.0-3) ... 342s Processing triggers for libc-bin (2.39-0ubuntu2) ... 344s (Reading database ... 51897 files and directories currently installed.) 344s Removing autopkgtest-satdep (0) ... 345s Get:1 http://ftpmaster.internal/ubuntu noble/universe libverilog-perl 3.482-1 (dsc) [2527 B] 345s Get:2 http://ftpmaster.internal/ubuntu noble/universe libverilog-perl 3.482-1 (tar) [648 kB] 345s Get:3 http://ftpmaster.internal/ubuntu noble/universe libverilog-perl 3.482-1 (diff) [9208 B] 345s gpgv: Signature made Sat Jan 27 01:46:57 2024 UTC 345s gpgv: using RSA key D1E1316E93A760A8104D85FABB3A68018649AA06 345s gpgv: Can't check signature: No public key 345s dpkg-source: warning: cannot verify inline signature for ./libverilog-perl_3.482-1.dsc: no acceptable signature found 345s autopkgtest [07:56:10]: testing package libverilog-perl version 3.482-1 346s autopkgtest [07:56:11]: build not needed 347s autopkgtest [07:56:12]: test autodep8-perl-build-deps: preparing testbed 348s Reading package lists... 349s Building dependency tree... 349s Reading state information... 349s Starting pkgProblemResolver with broken count: 0 349s Starting 2 pkgProblemResolver with broken count: 0 349s Done 349s The following additional packages will be installed: 349s autoconf autodep8 automake autopoint autotools-dev bison build-essential cpp 349s cpp-13 cpp-13-s390x-linux-gnu cpp-s390x-linux-gnu dctrl-tools debhelper 349s debugedit dh-autoreconf dh-strip-nondeterminism dwz flex g++ g++-13 349s g++-13-s390x-linux-gnu g++-s390x-linux-gnu gcc gcc-13 gcc-13-s390x-linux-gnu 349s gcc-s390x-linux-gnu gettext intltool-debian libarchive-zip-perl libasan8 349s libatomic1 libbit-vector-perl libc-dev-bin libc6-dev libcarp-clan-perl 349s libcc1-0 libcrypt-dev libdebhelper-perl libdw1 349s libfile-stripnondeterminism-perl libgcc-13-dev libgomp1 libisl23 libitm1 349s libmpc3 libnsl-dev libperl-dev libstdc++-13-dev libsub-override-perl 349s libtest-pod-perl libtirpc-dev libtool libubsan1 libverilog-perl 349s linux-libc-dev m4 pkg-perl-autopkgtest po-debconf rpcsvc-proto 349s Suggested packages: 349s autoconf-archive gnu-standards autoconf-doc bison-doc cpp-doc gcc-13-locales 349s cpp-13-doc debtags dh-make flex-doc g++-multilib g++-13-multilib gcc-13-doc 349s gcc-multilib manpages-dev gdb gcc-doc gcc-13-multilib gdb-s390x-linux-gnu 349s gettext-doc libasprintf-dev libgettextpo-dev glibc-doc libstdc++-13-doc 349s libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc libmail-box-perl 349s Recommended packages: 349s libfl-dev manpages manpages-dev libc-devtools libarchive-cpio-perl 349s libltdl-dev libmail-sendmail-perl 349s The following NEW packages will be installed: 349s autoconf autodep8 automake autopkgtest-satdep autopoint autotools-dev bison 349s build-essential cpp cpp-13 cpp-13-s390x-linux-gnu cpp-s390x-linux-gnu 349s dctrl-tools debhelper debugedit dh-autoreconf dh-strip-nondeterminism dwz 349s flex g++ g++-13 g++-13-s390x-linux-gnu g++-s390x-linux-gnu gcc gcc-13 349s gcc-13-s390x-linux-gnu gcc-s390x-linux-gnu gettext intltool-debian 349s libarchive-zip-perl libasan8 libatomic1 libbit-vector-perl libc-dev-bin 349s libc6-dev libcarp-clan-perl libcc1-0 libcrypt-dev libdebhelper-perl libdw1 349s libfile-stripnondeterminism-perl libgcc-13-dev libgomp1 libisl23 libitm1 349s libmpc3 libnsl-dev libperl-dev libstdc++-13-dev libsub-override-perl 349s libtest-pod-perl libtirpc-dev libtool libubsan1 libverilog-perl 349s linux-libc-dev m4 pkg-perl-autopkgtest po-debconf rpcsvc-proto 349s 0 upgraded, 60 newly installed, 0 to remove and 0 not upgraded. 349s Need to get 60.7 MB/60.7 MB of archives. 349s After this operation, 204 MB of additional disk space will be used. 349s Get:1 /tmp/autopkgtest.PKdseL/2-autopkgtest-satdep.deb autopkgtest-satdep s390x 0 [792 B] 349s Get:2 http://ftpmaster.internal/ubuntu noble/main s390x m4 s390x 1.4.19-4 [255 kB] 350s Get:3 http://ftpmaster.internal/ubuntu noble/main s390x flex s390x 2.6.4-8.2 [295 kB] 350s Get:4 http://ftpmaster.internal/ubuntu noble/main s390x autoconf all 2.71-3 [339 kB] 350s Get:5 http://ftpmaster.internal/ubuntu noble/main s390x dctrl-tools s390x 2.24-3build2 [65.4 kB] 350s Get:6 http://ftpmaster.internal/ubuntu noble/main s390x autodep8 all 0.28 [13.2 kB] 350s Get:7 http://ftpmaster.internal/ubuntu noble/main s390x autotools-dev all 20220109.1 [44.9 kB] 350s Get:8 http://ftpmaster.internal/ubuntu noble/main s390x automake all 1:1.16.5-1.3ubuntu1 [558 kB] 350s Get:9 http://ftpmaster.internal/ubuntu noble/main s390x autopoint all 0.21-14ubuntu1 [422 kB] 350s Get:10 http://ftpmaster.internal/ubuntu noble/main s390x bison s390x 2:3.8.2+dfsg-1build1 [739 kB] 350s Get:11 http://ftpmaster.internal/ubuntu noble/main s390x libc-dev-bin s390x 2.39-0ubuntu2 [20.2 kB] 350s Get:12 http://ftpmaster.internal/ubuntu noble/main s390x linux-libc-dev s390x 6.8.0-11.11 [1590 kB] 350s Get:13 http://ftpmaster.internal/ubuntu noble/main s390x libcrypt-dev s390x 1:4.4.36-4 [135 kB] 350s Get:14 http://ftpmaster.internal/ubuntu noble/main s390x libtirpc-dev s390x 1.3.4+ds-1build1 [225 kB] 350s Get:15 http://ftpmaster.internal/ubuntu noble/main s390x libnsl-dev s390x 1.3.0-3 [73.5 kB] 350s Get:16 http://ftpmaster.internal/ubuntu noble/main s390x rpcsvc-proto s390x 1.4.2-0ubuntu6 [64.7 kB] 350s Get:17 http://ftpmaster.internal/ubuntu noble/main s390x libc6-dev s390x 2.39-0ubuntu2 [1629 kB] 350s Get:18 http://ftpmaster.internal/ubuntu noble/main s390x libisl23 s390x 0.26-3 [722 kB] 350s Get:19 http://ftpmaster.internal/ubuntu noble/main s390x libmpc3 s390x 1.3.1-1 [54.9 kB] 350s Get:20 http://ftpmaster.internal/ubuntu noble/main s390x cpp-13-s390x-linux-gnu s390x 13.2.0-17ubuntu2 [9929 kB] 351s Get:21 http://ftpmaster.internal/ubuntu noble/main s390x cpp-13 s390x 13.2.0-17ubuntu2 [1026 B] 351s Get:22 http://ftpmaster.internal/ubuntu noble/main s390x cpp-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [5308 B] 351s Get:23 http://ftpmaster.internal/ubuntu noble/main s390x cpp s390x 4:13.2.0-7ubuntu1 [22.4 kB] 351s Get:24 http://ftpmaster.internal/ubuntu noble/main s390x libcc1-0 s390x 14-20240303-1ubuntu1 [49.9 kB] 351s Get:25 http://ftpmaster.internal/ubuntu noble/main s390x libgomp1 s390x 14-20240303-1ubuntu1 [151 kB] 351s Get:26 http://ftpmaster.internal/ubuntu noble/main s390x libitm1 s390x 14-20240303-1ubuntu1 [31.1 kB] 351s Get:27 http://ftpmaster.internal/ubuntu noble/main s390x libatomic1 s390x 14-20240303-1ubuntu1 [9392 B] 351s Get:28 http://ftpmaster.internal/ubuntu noble/main s390x libasan8 s390x 14-20240303-1ubuntu1 [2998 kB] 351s Get:29 http://ftpmaster.internal/ubuntu noble/main s390x libubsan1 s390x 14-20240303-1ubuntu1 [1186 kB] 351s Get:30 http://ftpmaster.internal/ubuntu noble/main s390x libgcc-13-dev s390x 13.2.0-17ubuntu2 [1003 kB] 351s Get:31 http://ftpmaster.internal/ubuntu noble/main s390x gcc-13-s390x-linux-gnu s390x 13.2.0-17ubuntu2 [19.1 MB] 352s Get:32 http://ftpmaster.internal/ubuntu noble/main s390x gcc-13 s390x 13.2.0-17ubuntu2 [467 kB] 352s Get:33 http://ftpmaster.internal/ubuntu noble/main s390x gcc-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [1208 B] 352s Get:34 http://ftpmaster.internal/ubuntu noble/main s390x gcc s390x 4:13.2.0-7ubuntu1 [5014 B] 352s Get:35 http://ftpmaster.internal/ubuntu noble/main s390x libstdc++-13-dev s390x 13.2.0-17ubuntu2 [2430 kB] 352s Get:36 http://ftpmaster.internal/ubuntu noble/main s390x g++-13-s390x-linux-gnu s390x 13.2.0-17ubuntu2 [11.3 MB] 352s Get:37 http://ftpmaster.internal/ubuntu noble/main s390x g++-13 s390x 13.2.0-17ubuntu2 [14.4 kB] 352s Get:38 http://ftpmaster.internal/ubuntu noble/main s390x g++-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [956 B] 352s Get:39 http://ftpmaster.internal/ubuntu noble/main s390x g++ s390x 4:13.2.0-7ubuntu1 [1096 B] 352s Get:40 http://ftpmaster.internal/ubuntu noble/main s390x build-essential s390x 12.10ubuntu1 [4930 B] 352s Get:41 http://ftpmaster.internal/ubuntu noble/main s390x libdebhelper-perl all 13.14.1ubuntu1 [89.5 kB] 352s Get:42 http://ftpmaster.internal/ubuntu noble/main s390x libtool all 2.4.7-7 [166 kB] 352s Get:43 http://ftpmaster.internal/ubuntu noble/main s390x dh-autoreconf all 20 [16.1 kB] 352s Get:44 http://ftpmaster.internal/ubuntu noble/main s390x libarchive-zip-perl all 1.68-1 [90.2 kB] 352s Get:45 http://ftpmaster.internal/ubuntu noble/main s390x libsub-override-perl all 0.10-1 [10.0 kB] 352s Get:46 http://ftpmaster.internal/ubuntu noble/main s390x libfile-stripnondeterminism-perl all 1.13.1-1 [18.1 kB] 352s Get:47 http://ftpmaster.internal/ubuntu noble/main s390x dh-strip-nondeterminism all 1.13.1-1 [5362 B] 352s Get:48 http://ftpmaster.internal/ubuntu noble/main s390x libdw1 s390x 0.190-1 [282 kB] 352s Get:49 http://ftpmaster.internal/ubuntu noble/main s390x debugedit s390x 1:5.0-5 [47.5 kB] 352s Get:50 http://ftpmaster.internal/ubuntu noble/main s390x dwz s390x 0.15-1 [108 kB] 352s Get:51 http://ftpmaster.internal/ubuntu noble/main s390x gettext s390x 0.21-14ubuntu1 [917 kB] 352s Get:52 http://ftpmaster.internal/ubuntu noble/main s390x intltool-debian all 0.35.0+20060710.6 [23.2 kB] 352s Get:53 http://ftpmaster.internal/ubuntu noble/main s390x po-debconf all 1.0.21+nmu1 [233 kB] 352s Get:54 http://ftpmaster.internal/ubuntu noble/main s390x debhelper all 13.14.1ubuntu1 [869 kB] 352s Get:55 http://ftpmaster.internal/ubuntu noble/universe s390x libcarp-clan-perl all 6.08-2 [11.9 kB] 352s Get:56 http://ftpmaster.internal/ubuntu noble/universe s390x libbit-vector-perl s390x 7.4-2build2 [146 kB] 352s Get:57 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libperl-dev s390x 5.38.2-3.2 [1301 kB] 352s Get:58 http://ftpmaster.internal/ubuntu noble/universe s390x libtest-pod-perl all 1.52-2 [8720 B] 352s Get:59 http://ftpmaster.internal/ubuntu noble/universe s390x libverilog-perl s390x 3.482-1 [452 kB] 352s Get:60 http://ftpmaster.internal/ubuntu noble/universe s390x pkg-perl-autopkgtest all 0.77 [18.0 kB] 353s Fetched 60.7 MB in 3s (19.6 MB/s) 353s Selecting previously unselected package m4. 353s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51897 files and directories currently installed.) 353s Preparing to unpack .../00-m4_1.4.19-4_s390x.deb ... 353s Unpacking m4 (1.4.19-4) ... 353s Selecting previously unselected package flex. 353s Preparing to unpack .../01-flex_2.6.4-8.2_s390x.deb ... 353s Unpacking flex (2.6.4-8.2) ... 353s Selecting previously unselected package autoconf. 353s Preparing to unpack .../02-autoconf_2.71-3_all.deb ... 353s Unpacking autoconf (2.71-3) ... 353s Selecting previously unselected package dctrl-tools. 353s Preparing to unpack .../03-dctrl-tools_2.24-3build2_s390x.deb ... 353s Unpacking dctrl-tools (2.24-3build2) ... 353s Selecting previously unselected package autodep8. 353s Preparing to unpack .../04-autodep8_0.28_all.deb ... 353s Unpacking autodep8 (0.28) ... 353s Selecting previously unselected package autotools-dev. 353s Preparing to unpack .../05-autotools-dev_20220109.1_all.deb ... 353s Unpacking autotools-dev (20220109.1) ... 353s Selecting previously unselected package automake. 353s Preparing to unpack .../06-automake_1%3a1.16.5-1.3ubuntu1_all.deb ... 353s Unpacking automake (1:1.16.5-1.3ubuntu1) ... 353s Selecting previously unselected package autopoint. 353s Preparing to unpack .../07-autopoint_0.21-14ubuntu1_all.deb ... 353s Unpacking autopoint (0.21-14ubuntu1) ... 353s Selecting previously unselected package bison. 353s Preparing to unpack .../08-bison_2%3a3.8.2+dfsg-1build1_s390x.deb ... 353s Unpacking bison (2:3.8.2+dfsg-1build1) ... 353s Selecting previously unselected package libc-dev-bin. 353s Preparing to unpack .../09-libc-dev-bin_2.39-0ubuntu2_s390x.deb ... 353s Unpacking libc-dev-bin (2.39-0ubuntu2) ... 353s Selecting previously unselected package linux-libc-dev:s390x. 353s Preparing to unpack .../10-linux-libc-dev_6.8.0-11.11_s390x.deb ... 353s Unpacking linux-libc-dev:s390x (6.8.0-11.11) ... 353s Selecting previously unselected package libcrypt-dev:s390x. 353s Preparing to unpack .../11-libcrypt-dev_1%3a4.4.36-4_s390x.deb ... 353s Unpacking libcrypt-dev:s390x (1:4.4.36-4) ... 353s Selecting previously unselected package libtirpc-dev:s390x. 353s Preparing to unpack .../12-libtirpc-dev_1.3.4+ds-1build1_s390x.deb ... 353s Unpacking libtirpc-dev:s390x (1.3.4+ds-1build1) ... 353s Selecting previously unselected package libnsl-dev:s390x. 353s Preparing to unpack .../13-libnsl-dev_1.3.0-3_s390x.deb ... 353s Unpacking libnsl-dev:s390x (1.3.0-3) ... 353s Selecting previously unselected package rpcsvc-proto. 353s Preparing to unpack .../14-rpcsvc-proto_1.4.2-0ubuntu6_s390x.deb ... 353s Unpacking rpcsvc-proto (1.4.2-0ubuntu6) ... 353s Selecting previously unselected package libc6-dev:s390x. 353s Preparing to unpack .../15-libc6-dev_2.39-0ubuntu2_s390x.deb ... 353s Unpacking libc6-dev:s390x (2.39-0ubuntu2) ... 353s Selecting previously unselected package libisl23:s390x. 353s Preparing to unpack .../16-libisl23_0.26-3_s390x.deb ... 353s Unpacking libisl23:s390x (0.26-3) ... 353s Selecting previously unselected package libmpc3:s390x. 353s Preparing to unpack .../17-libmpc3_1.3.1-1_s390x.deb ... 353s Unpacking libmpc3:s390x (1.3.1-1) ... 353s Selecting previously unselected package cpp-13-s390x-linux-gnu. 353s Preparing to unpack .../18-cpp-13-s390x-linux-gnu_13.2.0-17ubuntu2_s390x.deb ... 353s Unpacking cpp-13-s390x-linux-gnu (13.2.0-17ubuntu2) ... 354s Selecting previously unselected package cpp-13. 354s Preparing to unpack .../19-cpp-13_13.2.0-17ubuntu2_s390x.deb ... 354s Unpacking cpp-13 (13.2.0-17ubuntu2) ... 354s Selecting previously unselected package cpp-s390x-linux-gnu. 354s Preparing to unpack .../20-cpp-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... 354s Unpacking cpp-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 354s Selecting previously unselected package cpp. 354s Preparing to unpack .../21-cpp_4%3a13.2.0-7ubuntu1_s390x.deb ... 354s Unpacking cpp (4:13.2.0-7ubuntu1) ... 354s Selecting previously unselected package libcc1-0:s390x. 354s Preparing to unpack .../22-libcc1-0_14-20240303-1ubuntu1_s390x.deb ... 354s Unpacking libcc1-0:s390x (14-20240303-1ubuntu1) ... 354s Selecting previously unselected package libgomp1:s390x. 354s Preparing to unpack .../23-libgomp1_14-20240303-1ubuntu1_s390x.deb ... 354s Unpacking libgomp1:s390x (14-20240303-1ubuntu1) ... 354s Selecting previously unselected package libitm1:s390x. 354s Preparing to unpack .../24-libitm1_14-20240303-1ubuntu1_s390x.deb ... 354s Unpacking libitm1:s390x (14-20240303-1ubuntu1) ... 354s Selecting previously unselected package libatomic1:s390x. 354s Preparing to unpack .../25-libatomic1_14-20240303-1ubuntu1_s390x.deb ... 354s Unpacking libatomic1:s390x (14-20240303-1ubuntu1) ... 354s Selecting previously unselected package libasan8:s390x. 354s Preparing to unpack .../26-libasan8_14-20240303-1ubuntu1_s390x.deb ... 354s Unpacking libasan8:s390x (14-20240303-1ubuntu1) ... 354s Selecting previously unselected package libubsan1:s390x. 354s Preparing to unpack .../27-libubsan1_14-20240303-1ubuntu1_s390x.deb ... 354s Unpacking libubsan1:s390x (14-20240303-1ubuntu1) ... 354s Selecting previously unselected package libgcc-13-dev:s390x. 354s Preparing to unpack .../28-libgcc-13-dev_13.2.0-17ubuntu2_s390x.deb ... 354s Unpacking libgcc-13-dev:s390x (13.2.0-17ubuntu2) ... 354s Selecting previously unselected package gcc-13-s390x-linux-gnu. 354s Preparing to unpack .../29-gcc-13-s390x-linux-gnu_13.2.0-17ubuntu2_s390x.deb ... 354s Unpacking gcc-13-s390x-linux-gnu (13.2.0-17ubuntu2) ... 354s Selecting previously unselected package gcc-13. 354s Preparing to unpack .../30-gcc-13_13.2.0-17ubuntu2_s390x.deb ... 354s Unpacking gcc-13 (13.2.0-17ubuntu2) ... 354s Selecting previously unselected package gcc-s390x-linux-gnu. 354s Preparing to unpack .../31-gcc-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... 354s Unpacking gcc-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 354s Selecting previously unselected package gcc. 354s Preparing to unpack .../32-gcc_4%3a13.2.0-7ubuntu1_s390x.deb ... 354s Unpacking gcc (4:13.2.0-7ubuntu1) ... 354s Selecting previously unselected package libstdc++-13-dev:s390x. 354s Preparing to unpack .../33-libstdc++-13-dev_13.2.0-17ubuntu2_s390x.deb ... 354s Unpacking libstdc++-13-dev:s390x (13.2.0-17ubuntu2) ... 355s Selecting previously unselected package g++-13-s390x-linux-gnu. 355s Preparing to unpack .../34-g++-13-s390x-linux-gnu_13.2.0-17ubuntu2_s390x.deb ... 355s Unpacking g++-13-s390x-linux-gnu (13.2.0-17ubuntu2) ... 355s Selecting previously unselected package g++-13. 355s Preparing to unpack .../35-g++-13_13.2.0-17ubuntu2_s390x.deb ... 355s Unpacking g++-13 (13.2.0-17ubuntu2) ... 355s Selecting previously unselected package g++-s390x-linux-gnu. 355s Preparing to unpack .../36-g++-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... 355s Unpacking g++-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 355s Selecting previously unselected package g++. 355s Preparing to unpack .../37-g++_4%3a13.2.0-7ubuntu1_s390x.deb ... 355s Unpacking g++ (4:13.2.0-7ubuntu1) ... 355s Selecting previously unselected package build-essential. 355s Preparing to unpack .../38-build-essential_12.10ubuntu1_s390x.deb ... 355s Unpacking build-essential (12.10ubuntu1) ... 355s Selecting previously unselected package libdebhelper-perl. 355s Preparing to unpack .../39-libdebhelper-perl_13.14.1ubuntu1_all.deb ... 355s Unpacking libdebhelper-perl (13.14.1ubuntu1) ... 355s Selecting previously unselected package libtool. 355s Preparing to unpack .../40-libtool_2.4.7-7_all.deb ... 355s Unpacking libtool (2.4.7-7) ... 355s Selecting previously unselected package dh-autoreconf. 355s Preparing to unpack .../41-dh-autoreconf_20_all.deb ... 355s Unpacking dh-autoreconf (20) ... 355s Selecting previously unselected package libarchive-zip-perl. 355s Preparing to unpack .../42-libarchive-zip-perl_1.68-1_all.deb ... 355s Unpacking libarchive-zip-perl (1.68-1) ... 355s Selecting previously unselected package libsub-override-perl. 355s Preparing to unpack .../43-libsub-override-perl_0.10-1_all.deb ... 355s Unpacking libsub-override-perl (0.10-1) ... 355s Selecting previously unselected package libfile-stripnondeterminism-perl. 355s Preparing to unpack .../44-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... 355s Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... 355s Selecting previously unselected package dh-strip-nondeterminism. 355s Preparing to unpack .../45-dh-strip-nondeterminism_1.13.1-1_all.deb ... 355s Unpacking dh-strip-nondeterminism (1.13.1-1) ... 355s Selecting previously unselected package libdw1:s390x. 355s Preparing to unpack .../46-libdw1_0.190-1_s390x.deb ... 355s Unpacking libdw1:s390x (0.190-1) ... 355s Selecting previously unselected package debugedit. 355s Preparing to unpack .../47-debugedit_1%3a5.0-5_s390x.deb ... 355s Unpacking debugedit (1:5.0-5) ... 355s Selecting previously unselected package dwz. 355s Preparing to unpack .../48-dwz_0.15-1_s390x.deb ... 355s Unpacking dwz (0.15-1) ... 355s Selecting previously unselected package gettext. 355s Preparing to unpack .../49-gettext_0.21-14ubuntu1_s390x.deb ... 355s Unpacking gettext (0.21-14ubuntu1) ... 355s Selecting previously unselected package intltool-debian. 355s Preparing to unpack .../50-intltool-debian_0.35.0+20060710.6_all.deb ... 355s Unpacking intltool-debian (0.35.0+20060710.6) ... 355s Selecting previously unselected package po-debconf. 355s Preparing to unpack .../51-po-debconf_1.0.21+nmu1_all.deb ... 355s Unpacking po-debconf (1.0.21+nmu1) ... 355s Selecting previously unselected package debhelper. 355s Preparing to unpack .../52-debhelper_13.14.1ubuntu1_all.deb ... 355s Unpacking debhelper (13.14.1ubuntu1) ... 355s Selecting previously unselected package libcarp-clan-perl. 355s Preparing to unpack .../53-libcarp-clan-perl_6.08-2_all.deb ... 355s Unpacking libcarp-clan-perl (6.08-2) ... 355s Selecting previously unselected package libbit-vector-perl:s390x. 355s Preparing to unpack .../54-libbit-vector-perl_7.4-2build2_s390x.deb ... 355s Unpacking libbit-vector-perl:s390x (7.4-2build2) ... 355s Selecting previously unselected package libperl-dev:s390x. 355s Preparing to unpack .../55-libperl-dev_5.38.2-3.2_s390x.deb ... 355s Unpacking libperl-dev:s390x (5.38.2-3.2) ... 355s Selecting previously unselected package libtest-pod-perl. 355s Preparing to unpack .../56-libtest-pod-perl_1.52-2_all.deb ... 355s Unpacking libtest-pod-perl (1.52-2) ... 355s Selecting previously unselected package libverilog-perl:s390x. 355s Preparing to unpack .../57-libverilog-perl_3.482-1_s390x.deb ... 355s Unpacking libverilog-perl:s390x (3.482-1) ... 355s Selecting previously unselected package pkg-perl-autopkgtest. 355s Preparing to unpack .../58-pkg-perl-autopkgtest_0.77_all.deb ... 355s Unpacking pkg-perl-autopkgtest (0.77) ... 355s Selecting previously unselected package autopkgtest-satdep. 355s Preparing to unpack .../59-2-autopkgtest-satdep.deb ... 355s Unpacking autopkgtest-satdep (0) ... 355s Setting up libdw1:s390x (0.190-1) ... 355s Setting up libarchive-zip-perl (1.68-1) ... 355s Setting up libdebhelper-perl (13.14.1ubuntu1) ... 355s Setting up libtest-pod-perl (1.52-2) ... 355s Setting up linux-libc-dev:s390x (6.8.0-11.11) ... 355s Setting up m4 (1.4.19-4) ... 355s Setting up libgomp1:s390x (14-20240303-1ubuntu1) ... 355s Setting up libverilog-perl:s390x (3.482-1) ... 355s Setting up autotools-dev (20220109.1) ... 355s Setting up libtirpc-dev:s390x (1.3.4+ds-1build1) ... 355s Setting up rpcsvc-proto (1.4.2-0ubuntu6) ... 355s Setting up libmpc3:s390x (1.3.1-1) ... 355s Setting up libatomic1:s390x (14-20240303-1ubuntu1) ... 355s Setting up autopoint (0.21-14ubuntu1) ... 355s Setting up autoconf (2.71-3) ... 355s Setting up libubsan1:s390x (14-20240303-1ubuntu1) ... 355s Setting up dwz (0.15-1) ... 355s Setting up libnsl-dev:s390x (1.3.0-3) ... 355s Setting up libcrypt-dev:s390x (1:4.4.36-4) ... 355s Setting up libasan8:s390x (14-20240303-1ubuntu1) ... 355s Setting up bison (2:3.8.2+dfsg-1build1) ... 355s update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode 355s Setting up debugedit (1:5.0-5) ... 355s Setting up libsub-override-perl (0.10-1) ... 355s Setting up libcarp-clan-perl (6.08-2) ... 355s Setting up libisl23:s390x (0.26-3) ... 355s Setting up libc-dev-bin (2.39-0ubuntu2) ... 355s Setting up libcc1-0:s390x (14-20240303-1ubuntu1) ... 355s Setting up dctrl-tools (2.24-3build2) ... 355s Setting up libitm1:s390x (14-20240303-1ubuntu1) ... 355s Setting up autodep8 (0.28) ... 355s Setting up automake (1:1.16.5-1.3ubuntu1) ... 355s update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode 355s Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... 355s Setting up flex (2.6.4-8.2) ... 355s Setting up gettext (0.21-14ubuntu1) ... 355s Setting up libbit-vector-perl:s390x (7.4-2build2) ... 355s Setting up intltool-debian (0.35.0+20060710.6) ... 355s Setting up cpp-13-s390x-linux-gnu (13.2.0-17ubuntu2) ... 355s Setting up pkg-perl-autopkgtest (0.77) ... 355s Setting up dh-strip-nondeterminism (1.13.1-1) ... 355s Setting up libgcc-13-dev:s390x (13.2.0-17ubuntu2) ... 355s Setting up libc6-dev:s390x (2.39-0ubuntu2) ... 355s Setting up libstdc++-13-dev:s390x (13.2.0-17ubuntu2) ... 355s Setting up cpp-13 (13.2.0-17ubuntu2) ... 355s Setting up cpp-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 355s Setting up po-debconf (1.0.21+nmu1) ... 355s Setting up libperl-dev:s390x (5.38.2-3.2) ... 355s Setting up gcc-13-s390x-linux-gnu (13.2.0-17ubuntu2) ... 355s Setting up gcc-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 355s Setting up g++-13-s390x-linux-gnu (13.2.0-17ubuntu2) ... 355s Setting up gcc-13 (13.2.0-17ubuntu2) ... 355s Setting up cpp (4:13.2.0-7ubuntu1) ... 355s Setting up g++-13 (13.2.0-17ubuntu2) ... 355s Setting up libtool (2.4.7-7) ... 355s Setting up g++-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 355s Setting up gcc (4:13.2.0-7ubuntu1) ... 355s Setting up dh-autoreconf (20) ... 355s Setting up g++ (4:13.2.0-7ubuntu1) ... 355s update-alternatives: using /usr/bin/g++ to provide /usr/bin/c++ (c++) in auto mode 355s Setting up build-essential (12.10ubuntu1) ... 355s Setting up debhelper (13.14.1ubuntu1) ... 355s Setting up autopkgtest-satdep (0) ... 355s Processing triggers for man-db (2.12.0-3) ... 356s Processing triggers for install-info (7.1-3) ... 356s Processing triggers for libc-bin (2.39-0ubuntu2) ... 359s (Reading database ... 56205 files and directories currently installed.) 359s Removing autopkgtest-satdep (0) ... 359s autopkgtest [07:56:24]: test autodep8-perl-build-deps: /usr/share/pkg-perl-autopkgtest/runner build-deps 359s autopkgtest [07:56:24]: test autodep8-perl-build-deps: [----------------------- 360s t/01_manifest.t ....... 360s 1..1 360s # Running under perl version 5.038002 for linux 360s # Current time local: Tue Mar 19 07:56:24 2024 360s # Current time GMT: Tue Mar 19 07:56:24 2024 360s # Using Test.pm version 1.31 360s ok 1 # skip author only test (harmless) 360s ok 360s t/02_help.t ........... 360s 1..12 360s Doc test of: /usr/bin/vhier 360s ok 1 - exe exists: /usr/bin/vhier 360s ok 2 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vhier --help 2>&1 360s ok 3 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vhier --version 2>&1 360s Doc test of: /usr/bin/vpassert 360s ok 4 - exe exists: /usr/bin/vpassert 360s ok 5 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vpassert --help 2>&1 360s ok 6 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vpassert --version 2>&1 360s Doc test of: /usr/bin/vppreproc 360s ok 7 - exe exists: /usr/bin/vppreproc 360s ok 8 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vppreproc --help 2>&1 360s ok 9 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vppreproc --version 2>&1 360s Doc test of: /usr/bin/vrename 360s ok 10 - exe exists: /usr/bin/vrename 360s ok 11 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vrename --help 2>&1 360s ok 12 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vrename --version 2>&1 360s ok 360s t/03_spaces.t ......... 360s 1..2 360s # Running under perl version 5.038002 for linux 360s # Current time local: Tue Mar 19 07:56:25 2024 360s # Current time GMT: Tue Mar 19 07:56:25 2024 360s # Using Test.pm version 1.31 360s ok 1 360s ok 2 # skip author only test (harmless) 360s ok 360s t/05_yaml.t ........... 360s 1..1 360s # Running under perl version 5.038002 for linux 360s # Current time local: Tue Mar 19 07:56:25 2024 360s # Current time GMT: Tue Mar 19 07:56:25 2024 360s # Using Test.pm version 1.31 360s ok 1 # skip author only test (harmless) 360s ok 360s t/10_keywords.t ....... 360s 1..27 360s ok 1 360s ok 2 360s ok 3 360s ok 4 360s ok 5 360s ok 6 360s ok 7 360s ok 8 360s ok 9 360s ok 10 360s ok 11 360s ok 12 360s ok 13 360s ok 14 360s ok 15 360s ok 16 360s ok 17 360s ok 18 360s ok 19 360s ok 20 360s ok 21 - language_maximum 360s ok 22 360s ok 23 360s ok 24 360s ok 25 360s ok 26 360s ok 27 360s ok 360s t/12_splitbus.t ....... 360s 1..5 360s ok 1 - use 360s ok 2 360s ok 3 360s ok 4 360s ok 5 360s ok 360s t/14_numbers.t ........ 360s 1..32 360s ok 1 - use 360s ok 2 360s ok 3 360s ok 4 360s ok 5 360s ok 6 360s ok 7 360s ok 8 360s ok 9 360s ok 10 360s ok 11 360s ok 12 360s Bit::Vector 360s 5823 -> got 32 000016bf =? exp 32 exp 000016bf 360s ok 13 - number of bits 360s ok 14 - value 360s 80'h47cb_40d7_b50f_0147_1a85 -> got 80 47cb40d7b50f01471a85 =? exp 80 exp 47cb40d7b50f01471a85 360s ok 15 - number of bits 360s ok 16 - value 360s 83'o227525534413441101057616251 -> got 83 097aad721721208bf1ca9 =? exp 83 exp 097aad721721208bf1ca9 360s ok 17 - number of bits 360s ok 18 - value 360s 70'b1011010111111001010111111111111001110000011000101110010100110101101101 -> got 70 2d7e57ff9c18b94d6d =? exp 70 exp 2d7e57ff9c18b94d6d 360s ok 19 - number of bits 360s ok 20 - value 360s 90'd46548__4046747316__6145438700 -> got 90 003d9b368496d10ab0043ec =? exp 90 exp 003d9b368496d10ab0043ec 360s ok 21 - number of bits 360s ok 22 - value 360s Math::BigInt 360s ok 23 # skip Math::BigInt not installed (harmless) 360s ok 24 # skip Math::BigInt not installed (harmless) 360s ok 25 # skip Math::BigInt not installed (harmless) 360s ok 26 # skip Math::BigInt not installed (harmless) 360s ok 27 # skip Math::BigInt not installed (harmless) 360s ok 28 # skip Math::BigInt not installed (harmless) 360s ok 29 # skip Math::BigInt not installed (harmless) 360s ok 30 # skip Math::BigInt not installed (harmless) 360s ok 31 # skip Math::BigInt not installed (harmless) 360s ok 32 # skip Math::BigInt not installed (harmless) 360s ok 361s t/16_std.t ............ 361s 1..3 361s ok 1 - use 361s ok 2 361s ok 3 361s ok 361s t/20_getopt.t ......... 361s 1..15 361s ok 1 - use 361s ok 2 - new 361s ok 3 361s parameter(+libext+t) 361s libext t 361s parameter(+incdir+t) 361s incdir t 361s parameter(+define+foo=bar) 361s Define foo = bar 361s parameter(+define+foo2) 361s Define foo2 = 361s parameter(+define+foo3=3+foo4) 361s Define foo3 = 3 361s Define foo4 = 361s parameter(-v) 361s parameter(libdir) 361s library libdir 361s parameter(-y) 361s parameter(moddir) 361s module_dir moddir 361s parameter(-Dbaz=bar) 361s Define baz = bar 361s parameter(-Iincdir2) 361s incdir incdir2 361s parameter(-f) 361s parameter($DOT/t/20_getopt.opt) 361s *parameter_file ./t/20_getopt.opt 361s parameter(-Dread_opt_file=1) 361s Define read_opt_file = 1 361s parameter(-y) 361s parameter(y_library_path) 361s module_dir y_library_path 361s parameter(-F) 361s parameter($DOT/t/20_getopt.opt) 361s *parameter_file ./t/20_getopt.opt 361s parameter(-Dread_opt_file=1) 361s Define read_opt_file = 1 361s parameter(-y) 361s parameter(y_library_path) 361s module_dir t/y_library_path 361s parameter(passthru) 361s passthru 361s ok 4 361s ok 5 361s depend_files t/20_getopt.t 361s fp t/20_getopt.t 361s ok 6 361s OUT: +define+baz=bar +define+foo=bar +define+foo2 +define+foo3=3 +define+foo4 +define+read_opt_file=1 +libext+.v+t +incdir+. +incdir+t +incdir+incdir2 -y . -y moddir -y y_library_path -y t/y_library_path -v libdir 361s ok 7 361s parameter(+define+baz=bar) 361s Define baz = bar 361s parameter(+define+foo=bar) 361s Define foo = bar 361s parameter(+define+foo2) 361s Define foo2 = 361s parameter(+define+foo3=3) 361s Define foo3 = 3 361s parameter(+define+foo4) 361s Define foo4 = 361s parameter(+define+read_opt_file=1) 361s Define read_opt_file = 1 361s parameter(+libext+.v+t) 361s libext .v 361s libext t 361s parameter(+incdir+.) 361s incdir . 361s parameter(+incdir+t) 361s incdir t 361s parameter(+incdir+incdir2) 361s incdir incdir2 361s parameter(-y) 361s parameter(.) 361s module_dir . 361s parameter(-y) 361s parameter(moddir) 361s module_dir moddir 361s parameter(-y) 361s parameter(y_library_path) 361s module_dir y_library_path 361s parameter(-y) 361s parameter(t/y_library_path) 361s module_dir t/y_library_path 361s parameter(-v) 361s parameter(libdir) 361s library libdir 361s LEFT: 361s LEFT: +define+baz=bar +define+foo=bar +define+foo2 +define+foo3=3 +define+foo4 +define+read_opt_file=1 +libext+.v+t +incdir+. +incdir+t +incdir+incdir2 -y . -y moddir -y y_library_path -y t/y_library_path -v libdir 361s ok 8 361s parameter(+libext+t) 361s parameter(+incdir+t) 361s parameter(+define+foo=bar) 361s parameter(+define+foo2) 361s parameter(+define+foo3=3+foo4) 361s parameter(-v) 361s parameter(libdir) 361s parameter(-y) 361s parameter(moddir) 361s parameter(-Dbaz=bar) 361s Define baz = bar 361s parameter(-Iincdir2) 361s incdir incdir2 361s parameter(-f) 361s parameter($DOT/t/20_getopt.opt) 361s *parameter_file ./t/20_getopt.opt 361s parameter(-Dread_opt_file=1) 361s Define read_opt_file = 1 361s parameter(-y) 361s parameter(y_library_path) 361s parameter(-F) 361s parameter($DOT/t/20_getopt.opt) 361s *parameter_file ./t/20_getopt.opt 361s parameter(-Dread_opt_file=1) 361s Define read_opt_file = 1 361s parameter(-y) 361s parameter(y_library_path) 361s parameter(passthru) 361s LEFT: +libext+t +incdir+t +define+foo=bar +define+foo2 +define+foo3=3+foo4 -v libdir -y moddir -y y_library_path -y y_library_path passthru 361s ok 9 361s parameter(+libext+t) 361s libext t 361s parameter(+incdir+t) 361s incdir t 361s parameter(+define+foo=bar) 361s Define foo = bar 361s parameter(+define+foo2) 361s Define foo2 = 361s parameter(+define+foo3=3+foo4) 361s Define foo3 = 3 361s Define foo4 = 361s parameter(-v) 361s parameter(libdir) 361s library libdir 361s parameter(-y) 361s parameter(moddir) 361s module_dir moddir 361s parameter(-Dbaz=bar) 361s parameter(-Iincdir2) 361s parameter(-f) 361s parameter($DOT/t/20_getopt.opt) 361s *parameter_file ./t/20_getopt.opt 361s parameter(-Dread_opt_file=1) 361s parameter(-y) 361s parameter(y_library_path) 361s module_dir y_library_path 361s parameter(-F) 361s parameter($DOT/t/20_getopt.opt) 361s *parameter_file ./t/20_getopt.opt 361s parameter(-Dread_opt_file=1) 361s parameter(-y) 361s parameter(y_library_path) 361s module_dir t/y_library_path 361s parameter(passthru) 361s LEFT: -Dbaz=bar -Iincdir2 -Dread_opt_file=1 -Dread_opt_file=1 passthru 361s ok 10 361s parameter(+define+foo=bar) 361s Define foo = bar 361s parameter(+define+foo=baz) 361s Define foo = baz 361s ok 11 361s incdir ARRAY(0x2aa3fede1b0) 361s module_dir ARRAY(0x2aa3fede288) 361s ok 12 361s ok 13 361s ok 14 361s ok 15 361s ok 361s t/30_preproc.t ........ 361s 1..19 361s ok 1 - use Verilog::Getopt 361s ok 2 - use Verilog::Preproc 361s ok 3 - new 361s ok 4 - parsed 361s ok 5 - diff 361s ok 6 - new_on 361s ok 7 - parsed_on 361s ok 8 - diff_on 361s ok 9 - new_syn 361s ok 10 - parsed_syn 361s ok 11 - diff_syn 361s ok 12 - new_nows 361s ok 13 - parsed_nows 361s ok 14 - diff_nows 361s ok 15 - new_sub 361s ok 16 - parsed_sub 361s ok 17 - diff_sub 361s ok 18 361s ok 19 - getall does same callbacks 361s ok 361s t/32_noinc.t .......... 361s 1..7 361s ok 1 - use 361s ok 2 - new 361s ok 3 - open 361s ok 4 361s ok 5 - new 361s ok 6 - open 361s ok 7 361s ok 361s t/33_gzip.t ........... 361s 1..4 361s ok 1 - use 361s ok 2 - gzip test creation 361s ok 3 - open 361s ok 4 - decompress found text 361s ok 361s t/34_parser.t ......... 361s 1..7 361s ok 1 - use 361s ok 2 - new 361s ok 3 - selftest 361s ok 4 361s ok 5 361s ok 6 - diff 361s ok 7 - coverage 361s ok 361s t/35_sigparser.t ...... 361s 1..6 361s ok 1 - use 361s ok 2 - read 361s ok 3 - diff 361s ok 4 - read-pinselects 361s ok 5 - diff 361s ok 6 - coverage 361s ok 361s t/36_sigmany.t ........ 361s 1..3 361s # Running under perl version 5.038002 for linux 361s # Current time local: Tue Mar 19 07:56:26 2024 361s # Current time GMT: Tue Mar 19 07:56:26 2024 361s # Using Test.pm version 1.31 361s ok 1 361s ok 2 # skip VERILOG_TEST_FILES not set (harmless) 361s ====================================================================== 361s read verilog/example.v 361s ====================================================================== 361s read verilog/parser_bugs.v 361s ====================================================================== 361s read verilog/parser_sv.v 361s ====================================================================== 361s read verilog/parser_sv09.v 361s ====================================================================== 361s read verilog/parser_sv17.v 361s ====================================================================== 361s read verilog/parser_vectors.v 361s ====================================================================== 361s read verilog/pinorder.v 361s ====================================================================== 361s read verilog/pli.v 361s ====================================================================== 361s read verilog/t_80_foo.v 361s ====================================================================== 361s read verilog/t_86_vhier_tick.v 361s ====================================================================== 361s read verilog/t_86_vhier_tick_sub.v 361s ====================================================================== 361s read verilog/test.v 361s ====================================================================== 361s read verilog/v_comments.v 361s ====================================================================== 361s read verilog/v_gate.v 361s ====================================================================== 361s read verilog/v_hier_noport.v 361s ====================================================================== 361s read verilog/v_hier_sub.v 361s ====================================================================== 361s read verilog/v_hier_subprim.v 361s ====================================================================== 361s read verilog/v_hier_subsub.v 361s ====================================================================== 361s read verilog/v_hier_top.v 361s ====================================================================== 361s read verilog/v_hier_top2.v 361s ====================================================================== 361s read verilog/v_recursive.v 361s ====================================================================== 361s read verilog/v_sv_intf.v 361s ====================================================================== 361s read verilog/v_sv_mod.v 361s ====================================================================== 361s read verilog/v_sv_pgm.v 361s ====================================================================== 361s read verilog/v_sv_pkg.v 361s ====================================================================== 361s read verilog/v_v2k.v 361s ok 3 361s ok 361s t/40_netlist.t ........ 361s 1..17 361s ok 1 - use 361s Level tests 361s ok 2 361s ok 3 361s ok 4 361s ok 5 361s ok 6 361s ok 7 361s ok 8 361s ok 9 361s ok 10 361s ok 11 361s ok 12 361s ok 13 361s ok 14 361s ok 15 361s ok 16 361s ok 17 361s ok 361s t/41_example.t ........ 361s 1..3 361s ok 1 - use 361s ok 2 361s ok 3 - done 361s ok 361s t/42_dumpcheck.t ...... 361s 1..17 361s ok 1 - use 361s Dump 361s ok 2 361s ok 3 361s ok 4 361s Dump 361s ok 5 361s ok 6 361s ok 7 361s Dump 361s ok 8 361s ok 9 361s ok 10 361s Dump 361s ok 11 361s ok 12 361s ok 13 361s Edit tests 361s ok 14 361s ok 15 361s Dump 361s ok 16 361s ok 17 361s ok 361s t/43_storable.t ....... 361s 1..4 361s # Running under perl version 5.038002 for linux 361s # Current time local: Tue Mar 19 07:56:26 2024 361s # Current time GMT: Tue Mar 19 07:56:26 2024 361s # Using Test.pm version 1.31 361s ok 1 361s ok 2 361s ok 3 361s ok 4 361s ok 361s t/44_create.t ......... 361s 1..3 361s ok 1 - use 361s ok 2 361s ok 3 - done 361s ok 362s t/46_link.t ........... 362s 1..2 362s ok 1 - use 362s Dump 362s Module:buffer Kwd:module File:verilog/v_gate.v 362s Port:A Dir:in DataT: Array: 362s Port:Z Dir:out DataT: Array: 362s Net:A O DeclT:port NetT: DataT: Array: 362s Net:Z I DeclT:port NetT: DataT: Array: 362s Cell:u_buf is-a:buf 362s Pin:pin1 Net:Z 362s Net:Z I DeclT:port NetT: DataT: Array: 362s Pin:pin2 Net:A 362s Net:A O DeclT:port NetT: DataT: Array: 362s Module:gate Kwd:module File:verilog/v_gate.v 362s Port:A Dir:in DataT: Array: 362s Port:Z Dir:out DataT: Array: 362s Net:A IO DeclT:port NetT: DataT: Array: 362s Net:Z IO DeclT:port NetT: DataT: Array: 362s Cell:u_buf is-a:buffer 362s Module:buffer Kwd:module File:verilog/v_gate.v 362s Pin:A Net:A 362s Port:A Dir:in DataT: Array: 362s Net:A IO DeclT:port NetT: DataT: Array: 362s Pin:Z Net:Z 362s Port:Z Dir:out DataT: Array: 362s Net:Z IO DeclT:port NetT: DataT: Array: 362s ok 2 - done 362s ok 362s t/48_leak.t ........... 362s 1..2 362s # Running under perl version 5.038002 for linux 362s # Current time local: Tue Mar 19 07:56:27 2024 362s # Current time GMT: Tue Mar 19 07:56:27 2024 362s # Using Test.pm version 1.31 362s 0: Memory 24.719 MB Alloced 0.535 MB 362s 6: Memory 24.844 MB Alloced 0.125 MB 362s 10: Memory 24.824 MB Alloced -0.020 MB 362s ok 1 362s ok 2 362s ok 363s t/49_largeish.t ....... 363s 1..4 363s Wrote test_dir/largeish_1.v: 0.000 MB 363s Wrote test_dir/largeish_2.v: 0.031 MB 363s Wrote test_dir/largeish_3.v: 0.359 MB 363s For sigparser test_dir/largeish_2.v: File 0.036 MB, 0.009 s, 29.645 MB, Alloced 0.660 MB, 18.2 Alloc/FileB 0.2 s/MB 363s For sigparser test_dir/largeish_3.v: File 0.362 MB, 0.082 s, 32.730 MB, Alloced 3.746 MB, 10.3 Alloc/FileB 0.2 s/MB 363s ok 1 - run complete 363s ok 2 - complexity 363s For netlist test_dir/largeish_2.v: File 0.036 MB, 0.029 s, 32.730 MB, Alloced 0.000 MB, 0.0 Alloc/FileB 0.8 s/MB 363s For netlist test_dir/largeish_3.v: File 0.362 MB, 0.296 s, 46.375 MB, Alloced 13.645 MB, 37.6 Alloc/FileB 0.8 s/MB 363s ok 3 - run complete 363s ok 4 - complexity 363s ok 363s t/50_vrename.t ........ 363s 1..6 363s Checking vrename... 363s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -list -xref verilog/test.v 363s parse file verilog/test.v 363s Wrote test_dir/signals.vrename (Changes list, 5 signals) 363s ok 1 - vrename list 363s ok 2 - diff 363s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile verilog/test.vrename -o test_dir verilog/test.v 363s Read verilog/test.vrename 363s Wrote verilog/test.v (3 signals matched) 363s ok 3 - vrename change 363s ok 4 - diff 363s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -list --crypt -o test_dir verilog/test.v 363s parse file verilog/test.v 363s Wrote test_dir/signals.vrename (Changes list, 5 signals) 363s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -change --crypt -o test_dir verilog/test.v 363s Read test_dir/signals.vrename 363s Encrypted verilog/test.v (1 signals matched) 363s ok 5 - vrename crypt 363s ok 6 - output exists 363s ok 363s t/51_vrename_kwd.t .... 363s 1..6 363s Checking vrename... 363s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/51_vrename_kwd_list.vrename -list --changelang --language 1364-1995 t/51_vrename_kwd.v 363s parse file t/51_vrename_kwd.v 363s Wrote test_dir/51_vrename_kwd_list.vrename (Changes list, 22 signals) 363s ok 1 - vrename list 363s ok 2 - diff 363s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile=test_dir/51_vrename_kwd_list.vrename -o test_dir t/51_vrename_kwd.v 363s Read test_dir/51_vrename_kwd_list.vrename 363s Wrote t/51_vrename_kwd.v (1 signals matched) 363s ok 3 - vrename change same 363s ok 4 - diff 363s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile=t/51_vrename_kwd_chg2.vrename -o test_dir t/51_vrename_kwd.v 363s Read t/51_vrename_kwd_chg2.vrename 363s Wrote t/51_vrename_kwd.v (19 signals matched) 363s ok 5 - vrename change 363s ok 6 - diff 363s ok 363s t/56_editfiles.t ...... 363s 1..9 363s ok 1 363s ok 2 - new 363s test_dir/56_editfiles.v (Changed) 363s ok 3 - edit_file 363s ok 4 - diff 363s Reading t/56_editfiles.v... 363s ok 5 - read_and_split 363s Writing test_dir/editout/a.v... 363s Writing test_dir/editout/b.v... 363s ok 6 - write_files 363s ok 7 - diff 363s ok 8 - diff 363s Writing test_dir/editout/0LINT.sh... 363s ok 9 - write_lint 363s ok 363s t/58_vsplitmodule.t ... 363s 1..2 363s Checking vsplitmodule... 363s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vsplitmodule 363s Reading t/32_noinc.v... 363s Reading t/51_vrename_kwd.v... 363s Reading t/56_editfiles.v... 363s Writing test_dir/32_noinc.v... 363s Writing test_dir/51_vrename_kwd.v... 363s Writing test_dir/a.v... 363s Writing test_dir/b.v... 363s Writing test_dir/0LINT.sh... 363s ok 1 - vsplitmodule 363s ok 2 - vsplitmodule output 363s ok 364s t/60_vpassert.t ....... 364s 1..6 364s Checking vpassert... 364s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vpassert --minimum --nostop --date --axiom --verilator --vcs --synthcov -o test_dir/.vpassert -y verilog/ 364s VPASSERT (or overall flags) changed... Two minutes... 364s VPASSERT'ing file (1) verilog/parser_bugs.v (New) (no-output) 364s VPASSERT'ing file (2) verilog/t_80_foo.v (New) (no-output) 364s VPASSERT'ing file (3) verilog/v_sv_pkg.v (New) (no-output) 364s VPASSERT'ing file (4) verilog/v_hier_top.v (New) (no-output) 364s VPASSERT'ing file (5) verilog/pli.v (New) 364s VPASSERT'ing file (6) verilog/v_hier_subsub.v (New) (no-output) 364s VPASSERT'ing file (7) verilog/v_hier_noport.v (New) (no-output) 364s VPASSERT'ing file (8) verilog/v_hier_subprim.v (New) (no-output) 364s VPASSERT'ing file (9) verilog/v_v2k.v (New) (no-output) 364s VPASSERT'ing file (10) verilog/inc2.v (New) (no-output) 364s VPASSERT'ing file (11) verilog/parser_vectors.v (New) (no-output) 364s VPASSERT'ing file (12) verilog/v_sv_mod.v (New) (no-output) 364s VPASSERT'ing file (13) verilog/t_86_vhier_tick_sub.v (New) (no-output) 364s VPASSERT'ing file (14) verilog/t_86_vhier_tick.v (New) (no-output) 364s VPASSERT'ing file (15) verilog/inc_def09.v (New) (no-output) 364s VPASSERT'ing file (16) verilog/v_recursive.v (New) (no-output) 364s VPASSERT'ing file (17) verilog/v_sv_intf.v (New) (no-output) 364s VPASSERT'ing file (18) verilog/v_hier_sub.v (New) (no-output) 364s VPASSERT'ing file (19) verilog/v_gate.v (New) (no-output) 364s VPASSERT'ing file (20) verilog/test.v (New) (no-output) 364s VPASSERT'ing file (21) verilog/pinorder.v (New) (no-output) 364s VPASSERT'ing file (22) verilog/inc_ifdef.v (New) (no-output) 364s VPASSERT'ing file (23) verilog/example.v (New) 364s VPASSERT'ing file (24) verilog/v_hier_top2.v (New) (no-output) 364s VPASSERT'ing file (25) verilog/v_sv_pgm.v (New) (no-output) 364s VPASSERT'ing file (26) verilog/inc_nonl.v (New) (no-output) 364s VPASSERT'ing file (27) verilog/parser_sv17.v (New) (no-output) 364s VPASSERT'ing file (28) verilog/parser_sv09.v (New) (no-output) 364s VPASSERT'ing file (29) verilog/parser_sv.v (New) (no-output) 364s VPASSERT'ing file (30) verilog/v_comments.v (New) (no-output) 364s VPASSERT'ing file (31) verilog/inc1.v (New) (no-output) 364s VPASSERT generated 31 new file(s) 364s ok 1 - vpassert ran 364s ok 2 - pli.v created 364s Line count: inc1.v: 693 =? 693 364s Line count: inc2.v: 5 =? 5 364s Line count: inc_def09.v: 72 =? 72 364s Line count: inc_ifdef.v: 39 =? 39 364s Line count: inc_nonl.v: 1 =? 1 364s Line count: parser_bugs.v: 586 =? 586 364s Line count: parser_sv.v: 424 =? 424 364s Line count: parser_sv09.v: 57 =? 57 364s Line count: parser_sv17.v: 8 =? 8 364s Line count: parser_vectors.v: 55 =? 55 364s Line count: pinorder.v: 50 =? 50 364s Line count: t_80_foo.v: 9 =? 9 364s Line count: t_86_vhier_tick.v: 9 =? 9 364s Line count: t_86_vhier_tick_sub.v: 5 =? 5 364s Line count: test.v: 28 =? 28 364s Line count: v_comments.v: 33 =? 33 364s Line count: v_gate.v: 10 =? 10 364s Line count: v_hier_noport.v: 7 =? 7 364s Line count: v_hier_sub.v: 40 =? 40 364s Line count: v_hier_subprim.v: 35 =? 35 364s Line count: v_hier_subsub.v: 31 =? 31 364s Line count: v_hier_top.v: 45 =? 45 364s Line count: v_hier_top2.v: 19 =? 19 364s Line count: v_recursive.v: 7 =? 7 364s Line count: v_sv_intf.v: 14 =? 14 364s Line count: v_sv_mod.v: 21 =? 21 364s Line count: v_sv_pgm.v: 6 =? 6 364s Line count: v_sv_pkg.v: 11 =? 11 364s Line count: v_v2k.v: 31 =? 31 364s ok 3 - lines output 364s ok 4 - diff output 364s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vpassert --date --verilator --vcs --call-error '$callError' --call-info '$callInfo' --call-warn '$callWarn' -o test_dir/.vpassertcall -y verilog/ 364s VPASSERT (or overall flags) changed... Two minutes... 364s VPASSERT'ing file (1) verilog/parser_bugs.v (New) (no-output) 364s VPASSERT'ing file (2) verilog/t_80_foo.v (New) (no-output) 364s VPASSERT'ing file (3) verilog/v_sv_pkg.v (New) (no-output) 364s VPASSERT'ing file (4) verilog/v_hier_top.v (New) (no-output) 364s VPASSERT'ing file (5) verilog/pli.v (New) 364s VPASSERT'ing file (6) verilog/v_hier_subsub.v (New) (no-output) 364s VPASSERT'ing file (7) verilog/v_hier_noport.v (New) (no-output) 364s VPASSERT'ing file (8) verilog/v_hier_subprim.v (New) (no-output) 364s VPASSERT'ing file (9) verilog/v_v2k.v (New) (no-output) 364s VPASSERT'ing file (10) verilog/inc2.v (New) (no-output) 364s VPASSERT'ing file (11) verilog/parser_vectors.v (New) (no-output) 364s VPASSERT'ing file (12) verilog/v_sv_mod.v (New) (no-output) 364s VPASSERT'ing file (13) verilog/t_86_vhier_tick_sub.v (New) (no-output) 364s VPASSERT'ing file (14) verilog/t_86_vhier_tick.v (New) (no-output) 364s VPASSERT'ing file (15) verilog/inc_def09.v (New) (no-output) 364s VPASSERT'ing file (16) verilog/v_recursive.v (New) (no-output) 364s VPASSERT'ing file (17) verilog/v_sv_intf.v (New) (no-output) 364s VPASSERT'ing file (18) verilog/v_hier_sub.v (New) (no-output) 364s VPASSERT'ing file (19) verilog/v_gate.v (New) (no-output) 364s VPASSERT'ing file (20) verilog/test.v (New) (no-output) 364s VPASSERT'ing file (21) verilog/pinorder.v (New) (no-output) 364s VPASSERT'ing file (22) verilog/inc_ifdef.v (New) (no-output) 364s VPASSERT'ing file (23) verilog/example.v (New) 364s VPASSERT'ing file (24) verilog/v_hier_top2.v (New) (no-output) 364s VPASSERT'ing file (25) verilog/v_sv_pgm.v (New) (no-output) 364s VPASSERT'ing file (26) verilog/inc_nonl.v (New) (no-output) 364s VPASSERT'ing file (27) verilog/parser_sv17.v (New) (no-output) 364s VPASSERT'ing file (28) verilog/parser_sv09.v (New) (no-output) 364s VPASSERT'ing file (29) verilog/parser_sv.v (New) (no-output) 364s VPASSERT'ing file (30) verilog/v_comments.v (New) (no-output) 364s VPASSERT'ing file (31) verilog/inc1.v (New) (no-output) 364s VPASSERT generated 31 new file(s) 364s ok 5 - diff 364s ok 6 # skip author only test (harmless) 364s ok 364s t/80_vppreproc.t ...... 364s 1..15 364s Checking vppreproc... 364s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog inc2.v > test_dir/vppreproc_none.v 364s ok 1 - run command 364s ok 2 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog inc2.v > test_dir/vppreproc_none.v 364s ok 3 - diff 364s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --nocomment --pedantic -y verilog inc2.v > test_dir/vppreproc_cmped.v 364s ok 4 - run command 364s ok 5 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --nocomment --pedantic -y verilog inc2.v > test_dir/vppreproc_cmped.v 364s ok 6 - diff 364s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --simple -y verilog inc2.v > test_dir/vppreproc_simple.v 364s ok 7 - run command 364s ok 8 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --simple -y verilog inc2.v > test_dir/vppreproc_simple.v 364s ok 9 - diff 364s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --dump-defines -y verilog inc2.v > test_dir/vppreproc_defines.v 364s ok 10 - run command 364s ok 11 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --dump-defines -y verilog inc2.v > test_dir/vppreproc_defines.v 364s ok 12 - diff 364s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -f verilog/t_80_foo.f -y verilog inc2.v > test_dir/vppreproc_rel_file.v 364s ok 13 - run command 364s ok 14 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -f verilog/t_80_foo.f -y verilog inc2.v > test_dir/vppreproc_rel_file.v 364s ok 15 - diff 364s ok 365s t/85_vhier.t .......... 365s 1..19 365s Checking vhier... 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --cells --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 1 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --cells --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 2 - vhier file compare 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --includes --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 3 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --includes --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 4 - vhier file compare 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 5 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 6 - vhier file compare 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --resolve-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 7 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --resolve-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 8 - vhier file compare 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --language 2001 --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 9 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --language 2001 --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 10 - vhier file compare 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --top-module v_hier_sub --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 11 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --top-module v_hier_sub --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 12 - vhier file compare 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 13 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 14 - vhier file compare 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --skiplist t/85_vhier_skiplist.dat --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 15 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --skiplist t/85_vhier_skiplist.dat --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 16 - vhier file compare 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --xml --cells --includes --input-files --module-files --missing-modules --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 17 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --xml --cells --includes --input-files --module-files --missing-modules --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 365s ok 18 - vhier file compare 365s ok 19 # skip author only XML test (harmless) 365s ok 365s t/86_vhier_tick.t ..... 365s 1..2 365s ok 1 - /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files -y verilog t_86_vhier_tick.v 365s ok 2 - /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files -y verilog t_86_vhier_tick.v 365s ok 365s t/87_vhier_unicode.t .. 365s 1..3 365s ok 1 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog test_dir/unicode.v > test_dir/unicode_vppreproc.out 365s ok 2 - vppreproc outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog test_dir/unicode.v > test_dir/unicode_vppreproc.out 365s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog test_dir/unicode.v -o test_dir/unicode_vhier.out 365s ok 3 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog test_dir/unicode.v -o test_dir/unicode_vhier.out 365s ok 365s All tests successful. 365s Files=32, Tests=264, 6 wallclock secs ( 0.06 usr 0.02 sys + 4.95 cusr 0.59 csys = 5.62 CPU) 365s Result: PASS 366s autopkgtest [07:56:31]: test autodep8-perl-build-deps: -----------------------] 366s autopkgtest [07:56:31]: test autodep8-perl-build-deps: - - - - - - - - - - results - - - - - - - - - - 366s autodep8-perl-build-deps PASS 366s autopkgtest [07:56:31]: test autodep8-perl: preparing testbed 725s autopkgtest [08:02:30]: testbed dpkg architecture: s390x 726s autopkgtest [08:02:31]: testbed apt version: 2.7.12 726s autopkgtest [08:02:31]: @@@@@@@@@@@@@@@@@@@@ test bed setup 726s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] 726s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [53.7 kB] 726s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [6540 B] 726s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [486 kB] 727s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [3752 kB] 727s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main s390x Packages [642 kB] 727s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main s390x c-n-f Metadata [3032 B] 727s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x Packages [1372 B] 727s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x c-n-f Metadata [116 B] 727s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x Packages [3946 kB] 727s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x c-n-f Metadata [7292 B] 727s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x Packages [34.6 kB] 727s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x c-n-f Metadata [116 B] 730s Fetched 9050 kB in 3s (3154 kB/s) 730s Reading package lists... 731s Hit:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease 732s Reading package lists... 738s Reading package lists... 739s Building dependency tree... 739s Reading state information... 739s Calculating upgrade... 739s The following packages were automatically installed and are no longer required: 739s fuse3 libfuse3-3 libgdbm-compat4t64 libperl5.38 lto-disabled-list make 739s perl-modules-5.38 s390-tools-data s390-tools-signed 739s Use 'sudo apt autoremove' to remove them. 739s The following packages will be REMOVED: 739s dpkg-dev libdpkg-perl libgdbm-compat4 libgdbm6 perl s390-tools 739s sysconfig-hardware 739s The following NEW packages will be installed: 739s libgdbm-compat4t64 libgdbm6t64 739s The following packages will be upgraded: 739s perl-base perl-modules-5.38 739s 2 upgraded, 2 newly installed, 7 to remove and 0 not upgraded. 739s Need to get 5114 kB of archives. 739s After this operation, 15.7 MB disk space will be freed. 739s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main s390x perl-base s390x 5.38.2-3.2 [1961 kB] 740s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgdbm6t64 s390x 1.23-5.1 [36.4 kB] 740s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgdbm-compat4t64 s390x 1.23-5.1 [6880 B] 740s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main s390x perl-modules-5.38 all 5.38.2-3.2 [3110 kB] 741s Fetched 5114 kB in 1s (6104 kB/s) 741s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 52187 files and directories currently installed.) 741s Removing sysconfig-hardware (0.0.14ubuntu2) ... 741s Removing s390-tools (2.31.0-0ubuntu1) ... 741s Removing dpkg-dev (1.22.4ubuntu5) ... 741s Removing libdpkg-perl (1.22.4ubuntu5) ... 741s Removing perl (5.38.2-3) ... 741s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51348 files and directories currently installed.) 741s Preparing to unpack .../perl-base_5.38.2-3.2_s390x.deb ... 741s Unpacking perl-base (5.38.2-3.2) over (5.38.2-3) ... 741s Setting up perl-base (5.38.2-3.2) ... 741s dpkg: libgdbm6:s390x: dependency problems, but removing anyway as you requested: 741s python3-gdbm:s390x depends on libgdbm6 (>= 1.16). 741s man-db depends on libgdbm6 (>= 1.16). 741s libperl5.38:s390x depends on libgdbm6 (>= 1.21). 741s libgdbm-compat4:s390x depends on libgdbm6 (>= 1.16). 741s 741s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51348 files and directories currently installed.) 741s Removing libgdbm6:s390x (1.23-5) ... 742s Selecting previously unselected package libgdbm6t64:s390x. 742s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51343 files and directories currently installed.) 742s Preparing to unpack .../libgdbm6t64_1.23-5.1_s390x.deb ... 742s Unpacking libgdbm6t64:s390x (1.23-5.1) ... 742s dpkg: libgdbm-compat4:s390x: dependency problems, but removing anyway as you requested: 742s libperl5.38:s390x depends on libgdbm-compat4 (>= 1.18-3). 742s 742s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51349 files and directories currently installed.) 742s Removing libgdbm-compat4:s390x (1.23-5) ... 742s Selecting previously unselected package libgdbm-compat4t64:s390x. 742s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51344 files and directories currently installed.) 742s Preparing to unpack .../libgdbm-compat4t64_1.23-5.1_s390x.deb ... 742s Unpacking libgdbm-compat4t64:s390x (1.23-5.1) ... 742s Preparing to unpack .../perl-modules-5.38_5.38.2-3.2_all.deb ... 742s Unpacking perl-modules-5.38 (5.38.2-3.2) over (5.38.2-3) ... 742s Setting up libgdbm6t64:s390x (1.23-5.1) ... 742s Setting up libgdbm-compat4t64:s390x (1.23-5.1) ... 742s Setting up perl-modules-5.38 (5.38.2-3.2) ... 742s Processing triggers for libc-bin (2.39-0ubuntu2) ... 742s Processing triggers for man-db (2.12.0-3) ... 743s Processing triggers for initramfs-tools (0.142ubuntu20) ... 743s update-initramfs: Generating /boot/initrd.img-6.8.0-11-generic 743s W: No lz4 in /usr/bin:/sbin:/bin, using gzip 748s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 748s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 748s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 748s Reading package lists... 748s Building dependency tree... 748s Reading state information... 748s The following packages will be REMOVED: 748s fuse3* libfuse3-3* libgdbm-compat4t64* libperl5.38* lto-disabled-list* make* 748s perl-modules-5.38* s390-tools-data* s390-tools-signed* 749s 0 upgraded, 0 newly installed, 9 to remove and 0 not upgraded. 749s After this operation, 50.6 MB disk space will be freed. 749s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51350 files and directories currently installed.) 749s Removing fuse3 (3.14.0-5) ... 749s update-initramfs: deferring update (trigger activated) 749s Removing libfuse3-3:s390x (3.14.0-5) ... 749s Removing libperl5.38:s390x (5.38.2-3) ... 749s Removing libgdbm-compat4t64:s390x (1.23-5.1) ... 749s Removing lto-disabled-list (47) ... 749s Removing make (4.3-4.1build1) ... 749s Removing perl-modules-5.38 (5.38.2-3.2) ... 749s Removing s390-tools-data (2.31.0-0ubuntu1) ... 749s Removing s390-tools-signed (2.31.0-0ubuntu1) ... 749s Processing triggers for libc-bin (2.39-0ubuntu2) ... 749s Processing triggers for man-db (2.12.0-3) ... 749s Processing triggers for initramfs-tools (0.142ubuntu20) ... 749s update-initramfs: Generating /boot/initrd.img-6.8.0-11-generic 749s W: No lz4 in /usr/bin:/sbin:/bin, using gzip 754s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 49353 files and directories currently installed.) 754s Purging configuration files for fuse3 (3.14.0-5) ... 755s Hit:1 http://ftpmaster.internal/ubuntu noble InRelease 755s Hit:2 http://ftpmaster.internal/ubuntu noble-updates InRelease 755s Hit:3 http://ftpmaster.internal/ubuntu noble-security InRelease 755s Hit:4 http://ftpmaster.internal/ubuntu noble-proposed InRelease 755s Hit:5 http://ftpmaster.internal/ubuntu noble-backports InRelease 761s Reading package lists... 761s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 761s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 761s W: Target Packages (main/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s WReading package lists...: Target CNF (main/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (universe/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (universe/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (restricted/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (restricted/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (multiverse/binary-s390x/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (multiverse/cnf/Commands-s390x) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list.d/proposed.list:1 and /etc/apt/sources.list.d/proposed.sources:1 761s 761s Building dependency tree... 761s Reading state information... 761s Calculating upgrade... 762s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 762s Reading package lists... 762s Building dependency tree... 762s Reading state information... 763s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 763s autopkgtest [08:03:08]: rebooting testbed after setup commands that affected boot 787s autopkgtest-virt-ssh: WARNING: ssh connection failed. Retrying in 3 seconds... 798s Reading package lists... 798s Building dependency tree... 798s Reading state information... 799s Starting pkgProblemResolver with broken count: 0 799s Starting 2 pkgProblemResolver with broken count: 0 799s Done 799s The following additional packages will be installed: 799s autodep8 dctrl-tools libdb5.3t64 libgdbm-compat4t64 libperl5.38t64 799s libverilog-perl perl perl-modules-5.38 pkg-perl-autopkgtest 799s Suggested packages: 799s debtags perl-doc libterm-readline-gnu-perl | libterm-readline-perl-perl make 799s libtap-harness-archive-perl 799s The following packages will be REMOVED: 799s libdb5.3 799s The following NEW packages will be installed: 799s autodep8 autopkgtest-satdep dctrl-tools libdb5.3t64 libgdbm-compat4t64 799s libperl5.38t64 libverilog-perl perl perl-modules-5.38 pkg-perl-autopkgtest 799s 0 upgraded, 10 newly installed, 1 to remove and 0 not upgraded. 799s Need to get 6550 kB/9667 kB of archives. 799s After this operation, 52.5 MB of additional disk space will be used. 799s Get:1 /tmp/autopkgtest.PKdseL/3-autopkgtest-satdep.deb autopkgtest-satdep s390x 0 [720 B] 799s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libdb5.3t64 s390x 5.3.28+dfsg2-5build1 [763 kB] 800s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libperl5.38t64 s390x 5.38.2-3.2 [5007 kB] 801s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main s390x perl s390x 5.38.2-3.2 [231 kB] 801s Get:5 http://ftpmaster.internal/ubuntu noble/main s390x dctrl-tools s390x 2.24-3build2 [65.4 kB] 801s Get:6 http://ftpmaster.internal/ubuntu noble/main s390x autodep8 all 0.28 [13.2 kB] 801s Get:7 http://ftpmaster.internal/ubuntu noble/universe s390x libverilog-perl s390x 3.482-1 [452 kB] 801s Get:8 http://ftpmaster.internal/ubuntu noble/universe s390x pkg-perl-autopkgtest all 0.77 [18.0 kB] 801s Fetched 6550 kB in 1s (5081 kB/s) 801s dpkg: libdb5.3:s390x: dependency problems, but removing anyway as you requested: 801s libsasl2-modules-db:s390x depends on libdb5.3. 801s libpython3.12-stdlib:s390x depends on libdb5.3. 801s libpython3.11-stdlib:s390x depends on libdb5.3. 801s libpam-modules:s390x depends on libdb5.3. 801s iproute2 depends on libdb5.3. 801s apt-utils depends on libdb5.3. 801s 801s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 49352 files and directories currently installed.) 801s Removing libdb5.3:s390x (5.3.28+dfsg2-4) ... 801s Selecting previously unselected package libdb5.3t64:s390x. 801s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 49346 files and directories currently installed.) 801s Preparing to unpack .../libdb5.3t64_5.3.28+dfsg2-5build1_s390x.deb ... 801s Unpacking libdb5.3t64:s390x (5.3.28+dfsg2-5build1) ... 801s Setting up libdb5.3t64:s390x (5.3.28+dfsg2-5build1) ... 801s Selecting previously unselected package perl-modules-5.38. 801s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 49352 files and directories currently installed.) 801s Preparing to unpack .../0-perl-modules-5.38_5.38.2-3.2_all.deb ... 801s Unpacking perl-modules-5.38 (5.38.2-3.2) ... 802s Selecting previously unselected package libgdbm-compat4t64:s390x. 802s Preparing to unpack .../1-libgdbm-compat4t64_1.23-5.1_s390x.deb ... 802s Unpacking libgdbm-compat4t64:s390x (1.23-5.1) ... 802s Selecting previously unselected package libperl5.38t64:s390x. 802s Preparing to unpack .../2-libperl5.38t64_5.38.2-3.2_s390x.deb ... 802s Unpacking libperl5.38t64:s390x (5.38.2-3.2) ... 802s Selecting previously unselected package perl. 802s Preparing to unpack .../3-perl_5.38.2-3.2_s390x.deb ... 802s Unpacking perl (5.38.2-3.2) ... 802s Selecting previously unselected package dctrl-tools. 802s Preparing to unpack .../4-dctrl-tools_2.24-3build2_s390x.deb ... 802s Unpacking dctrl-tools (2.24-3build2) ... 802s Selecting previously unselected package autodep8. 802s Preparing to unpack .../5-autodep8_0.28_all.deb ... 802s Unpacking autodep8 (0.28) ... 802s Selecting previously unselected package libverilog-perl:s390x. 802s Preparing to unpack .../6-libverilog-perl_3.482-1_s390x.deb ... 802s Unpacking libverilog-perl:s390x (3.482-1) ... 802s Selecting previously unselected package pkg-perl-autopkgtest. 802s Preparing to unpack .../7-pkg-perl-autopkgtest_0.77_all.deb ... 802s Unpacking pkg-perl-autopkgtest (0.77) ... 802s Selecting previously unselected package autopkgtest-satdep. 802s Preparing to unpack .../8-3-autopkgtest-satdep.deb ... 802s Unpacking autopkgtest-satdep (0) ... 802s Setting up libgdbm-compat4t64:s390x (1.23-5.1) ... 802s Setting up perl-modules-5.38 (5.38.2-3.2) ... 802s Setting up libperl5.38t64:s390x (5.38.2-3.2) ... 802s Setting up dctrl-tools (2.24-3build2) ... 802s Setting up autodep8 (0.28) ... 802s Setting up perl (5.38.2-3.2) ... 802s Setting up pkg-perl-autopkgtest (0.77) ... 802s Setting up libverilog-perl:s390x (3.482-1) ... 802s Setting up autopkgtest-satdep (0) ... 802s Processing triggers for man-db (2.12.0-3) ... 803s Processing triggers for libc-bin (2.39-0ubuntu2) ... 806s (Reading database ... 51497 files and directories currently installed.) 806s Removing autopkgtest-satdep (0) ... 817s autopkgtest [08:04:02]: test autodep8-perl: /usr/share/pkg-perl-autopkgtest/runner runtime-deps 817s autopkgtest [08:04:02]: test autodep8-perl: [----------------------- 817s /usr/share/pkg-perl-autopkgtest/runtime-deps.d/use.t .. 817s 1..4 817s ok 1 - /usr/bin/perl -w -M"Verilog::Language" -e 1 2>&1 exited successfully 817s ok 2 - /usr/bin/perl -w -M"Verilog::Language" -e 1 2>&1 produced no (non-whitelisted) output 817s ok 3 - env PERL_DL_NONLAZY=1 /usr/bin/perl -w -M"Verilog::Language" -e 1 2>&1 exited successfully 817s ok 4 - env PERL_DL_NONLAZY=1 /usr/bin/perl -w -M"Verilog::Language" -e 1 2>&1 produced no (non-whitelisted) output 817s ok 817s All tests successful. 817s Files=1, Tests=4, 0 wallclock secs ( 0.02 usr 0.01 sys + 0.08 cusr 0.01 csys = 0.12 CPU) 817s Result: PASS 817s autopkgtest [08:04:02]: test autodep8-perl: -----------------------] 818s autopkgtest [08:04:03]: test autodep8-perl: - - - - - - - - - - results - - - - - - - - - - 818s autodep8-perl PASS (superficial) 818s autopkgtest [08:04:03]: test autodep8-perl-recommends: preparing testbed 820s Reading package lists... 820s Building dependency tree... 820s Reading state information... 821s Starting pkgProblemResolver with broken count: 0 821s Starting 2 pkgProblemResolver with broken count: 0 821s Done 821s The following NEW packages will be installed: 821s autopkgtest-satdep 822s 0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. 822s Need to get 0 B/720 B of archives. 822s After this operation, 0 B of additional disk space will be used. 822s Get:1 /tmp/autopkgtest.PKdseL/4-autopkgtest-satdep.deb autopkgtest-satdep s390x 0 [720 B] 822s Selecting previously unselected package autopkgtest-satdep. 822s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 51497 files and directories currently installed.) 822s Preparing to unpack .../4-autopkgtest-satdep.deb ... 822s Unpacking autopkgtest-satdep (0) ... 822s Setting up autopkgtest-satdep (0) ... 824s (Reading database ... 51497 files and directories currently installed.) 824s Removing autopkgtest-satdep (0) ... 825s autopkgtest [08:04:10]: test autodep8-perl-recommends: /usr/share/pkg-perl-autopkgtest/runner runtime-deps-and-recommends 825s autopkgtest [08:04:10]: test autodep8-perl-recommends: [----------------------- 826s /usr/share/pkg-perl-autopkgtest/runtime-deps-and-recommends.d/syntax.t .. 826s 1..4 826s ok 1 - Package libverilog-perl is known to dpkg 826s ok 2 - Got status information for package libverilog-perl 826s ok 3 - Got file list for package libverilog-perl 826s # Subtest: all modules in libverilog-perl pass the syntax check 826s 1..21 826s ok 1 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/EditFiles.pm exited successfully 826s ok 2 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Getopt.pm exited successfully 826s ok 3 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Language.pm exited successfully 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 38. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 51. 826s # Subroutine netlist redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 55. 826s # Subroutine _link_guts redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 60. 826s # Subroutine _link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 79. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 120. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 132. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 152. 826s # Subroutine new_pin redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 172. 826s # Subroutine find_pin redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 182. 826s # Subroutine pins redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 188. 826s # Subroutine pins_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 192. 826s ok 4 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm exited successfully 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 30. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 40. 826s # Subroutine netlist redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 44. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 49. 826s # Subroutine link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 50. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 52. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 58. 826s ok 5 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm exited successfully 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 30. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 40. 826s # Subroutine netlist redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 44. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 49. 826s # Subroutine link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 50. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 52. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 58. 826s ok 6 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm exited successfully 826s # Subroutine new redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 42. 826s # Subroutine contassign redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 86. 826s # Subroutine defparam redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 102. 826s # Subroutine interface redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 118. 826s # Subroutine modport redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 135. 826s # Subroutine module redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 152. 826s # Subroutine program redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 172. 826s # Subroutine endinterface redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 177. 826s # Subroutine endmodport redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 182. 826s # Subroutine endmodule redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 189. 826s # Subroutine endprogram redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 196. 826s # Subroutine attribute redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 201. 826s # Subroutine port redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 223. 826s # Subroutine var redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 248. 826s # Subroutine instant redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 297. 826s # Subroutine endcell redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 316. 826s # Subroutine parampin redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 322. 826s # Subroutine pin redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 334. 826s # Subroutine pinselects redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 341. 826s # Subroutine keyword redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 378. 826s # Subroutine comment redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 386. 826s # Subroutine error redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 407. 826s # Subroutine warn redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 416. 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 430. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 436. 826s # Subroutine read redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 441. 826s # Subroutine link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 484. 826s # Subroutine _link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 488. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 491. 826s ok 7 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm exited successfully 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 37. 826s # Subroutine is_top redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 58. 826s # Subroutine keyword redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 60. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 62. 826s # Subroutine find_modport redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 66. 826s # Subroutine find_port redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 71. 826s # Subroutine find_port_by_index redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 76. 826s # Subroutine find_cell redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 84. 826s # Subroutine find_net redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 89. 826s # Subroutine attrs_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 97. 826s # Subroutine cells redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 100. 826s # Subroutine cells_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 103. 826s # Subroutine modports redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 106. 826s # Subroutine modports_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 109. 826s # Subroutine nets redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 112. 826s # Subroutine nets_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 115. 826s # Subroutine ports redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 118. 826s # Subroutine ports_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 121. 826s # Subroutine ports_ordered redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 124. 826s # Subroutine nets_and_ports_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 129. 826s # Subroutine new_net redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 133. 826s # Subroutine new_attr redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 144. 826s # Subroutine new_modport redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 150. 826s # Subroutine new_port redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 158. 826s # Subroutine new_cell redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 167. 826s # Subroutine level redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 171. 826s # Subroutine link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 185. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 202. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 217. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 244. 826s ok 8 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm exited successfully 826s ok 9 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Logger.pm exited successfully 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 32. 826s # Subroutine netlist redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 47. 826s # Subroutine is_top redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 49. 826s # Subroutine keyword redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 51. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 53. 826s # Subroutine find_net redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 57. 826s # Subroutine find_port redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 64. 826s # Subroutine find_port_by_index redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 69. 826s # Subroutine attrs_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 78. 826s # Subroutine nets redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 81. 826s # Subroutine nets_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 84. 826s # Subroutine ports redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 87. 826s # Subroutine ports_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 90. 826s # Subroutine ports_ordered redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 93. 826s # Subroutine nets_and_ports_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 98. 826s # Subroutine new_attr redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 102. 826s # Subroutine new_net redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 108. 826s # Subroutine new_port redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 119. 826s # Subroutine _link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 128. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 136. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 145. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 161. 826s ok 10 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm exited successfully 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 59. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 80. 826s # Subroutine modulename_from_filename redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 84. 826s # Subroutine find_port redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 91. 826s # Subroutine find_port_by_index redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 96. 826s # Subroutine find_cell redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 106. 826s # Subroutine find_net redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 111. 826s # Subroutine attrs_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 119. 826s # Subroutine nets redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 122. 826s # Subroutine nets_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 125. 826s # Subroutine ports redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 128. 826s # Subroutine ports_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 131. 826s # Subroutine ports_ordered redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 134. 826s # Subroutine cells redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 138. 826s # Subroutine cells_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 141. 826s # Subroutine statements redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 144. 826s # Subroutine statements_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 147. 826s # Subroutine nets_and_ports_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 151. 826s # Subroutine new_net redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 164. 826s # Subroutine new_attr redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 190. 826s # Subroutine new_port redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 196. 826s # Subroutine new_cell redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 205. 826s # Subroutine new_contassign redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 225. 826s # Subroutine new_defparam redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 241. 826s # Subroutine level redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 257. 826s # Subroutine link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 271. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 285. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 303. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 332. 826s ok 11 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm exited successfully 826s # Subroutine new redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 109. 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 117. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 126. 826s # Subroutine netlist redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 129. 826s # Subroutine _used_in_inc redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 133. 826s # Subroutine _used_out_inc redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 134. 826s # Subroutine _used_inout_inc redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 135. 826s # Subroutine _used_in_dec redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 136. 826s # Subroutine _used_out_dec redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 140. 826s # Subroutine _used_inout_dec redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 144. 826s # Subroutine stored_lsb redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 149. 826s # Subroutine width redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 151. 826s # Subroutine type redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 163. 826s # Subroutine _link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 181. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 183. 826s # Subroutine _decls redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 218. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 229. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 244. 826s # Subroutine dump_drivers redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 258. 826s ok 12 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm exited successfully 826s # Subroutine new redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 46. 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 66. 826s # Subroutine netname redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 90. 826s # Subroutine net redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 94. 826s # Subroutine nets redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 102. 826s # Subroutine nets_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 106. 826s # Subroutine pinselects redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 110. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 114. 826s # Subroutine module redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 117. 826s # Subroutine submod redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 120. 826s # Subroutine netlist redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 123. 826s # Subroutine _link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 127. 826s # Subroutine type_match redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 183. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 192. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 221. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 250. 826s ok 13 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm exited successfully 826s ok 14 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/PinSelection.pm exited successfully 826s # Subroutine new redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 35. 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 46. 826s # Subroutine netlist redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 55. 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 57. 826s # Subroutine type redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 59. 826s # Subroutine _link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 65. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 97. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 99. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 104. 826s ok 15 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm exited successfully 826s ok 16 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist/Subclass.pm exited successfully 826s # Subroutine logger redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 23. 826s # Subroutine filename redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 24. 826s # Subroutine lineno redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 25. 826s # Subroutine new redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 30. 826s # Subroutine delete redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 55. 826s # Subroutine link redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 70. 826s # Subroutine lint redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 93. 826s # Subroutine verilog_text redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 104. 826s # Subroutine dump redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 116. 826s # Subroutine new_module redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 129. 826s # Subroutine new_root_module redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 144. 826s # Subroutine defvalue_nowarn redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 153. 826s # Subroutine remove_defines redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 163. 826s # Subroutine find_module_or_interface_for_cell redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 178. 826s # Subroutine find_module redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 185. 826s # Subroutine modules redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 199. 826s # Subroutine modules_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 205. 826s # Subroutine modules_sorted_level redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 211. 826s # Subroutine top_modules_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 218. 826s # Subroutine new_interface redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 226. 826s # Subroutine find_interface redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 239. 826s # Subroutine interfaces redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 253. 826s # Subroutine interfaces_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 259. 826s # Subroutine resolve_filename redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 268. 826s # Subroutine new_file redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 283. 826s # Subroutine find_file redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 298. 826s # Subroutine files redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 305. 826s # Subroutine files_sorted redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 310. 826s # Subroutine read_file redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 312. 826s # Subroutine read_verilog_file redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 318. 826s # Subroutine read_libraries redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 326. 826s # Subroutine dependency_in redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 343. 826s # Subroutine dependency_out redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 348. 826s # Subroutine dependency_write redefined at /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 354. 826s ok 17 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Netlist.pm exited successfully 826s ok 18 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Parser.pm exited successfully 826s ok 19 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Preproc.pm exited successfully 826s ok 20 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/SigParser.pm exited successfully 826s ok 21 - /usr/bin/perl -wc /usr/lib/s390x-linux-gnu/perl5/5.38/Verilog/Std.pm exited successfully 826s ok 4 - all modules in libverilog-perl pass the syntax check 826s ok 826s All tests successful. 826s Files=1, Tests=4, 1 wallclock secs ( 0.03 usr 0.00 sys + 0.70 cusr 0.15 csys = 0.88 CPU) 826s Result: PASS 827s autopkgtest [08:04:12]: test autodep8-perl-recommends: -----------------------] 827s autopkgtest [08:04:12]: test autodep8-perl-recommends: - - - - - - - - - - results - - - - - - - - - - 827s autodep8-perl-recommends PASS (superficial) 828s autopkgtest [08:04:13]: @@@@@@@@@@@@@@@@@@@@ summary 828s autodep8-perl-build-deps PASS 828s autodep8-perl PASS (superficial) 828s autodep8-perl-recommends PASS (superficial) 871s Creating nova instance adt-noble-s390x-libverilog-perl-20240319-075024-juju-7f2275-prod-proposed-migration-environment-2 from image adt/ubuntu-noble-s390x-server-20240318.img (UUID 126c2eb3-57be-497a-ae93-1bb0d09cf1e0)... 871s Creating nova instance adt-noble-s390x-libverilog-perl-20240319-075024-juju-7f2275-prod-proposed-migration-environment-2 from image adt/ubuntu-noble-s390x-server-20240318.img (UUID 126c2eb3-57be-497a-ae93-1bb0d09cf1e0)...