0s autopkgtest [18:46:42]: starting date and time: 2024-10-09 18:46:42+0000 0s autopkgtest [18:46:42]: git checkout: fd3bed09 nova: allow more retries for quota issues 0s autopkgtest [18:46:42]: host juju-7f2275-prod-proposed-migration-environment-2; command line: /home/ubuntu/autopkgtest/runner/autopkgtest --output-dir /tmp/autopkgtest-work.510o36s1/out --timeout-copy=6000 --setup-commands /home/ubuntu/autopkgtest-cloud/worker-config-production/setup-canonical.sh --apt-pocket=proposed=src:llvm-toolchain-18 --apt-upgrade ghdl --timeout-short=300 --timeout-copy=20000 --timeout-build=20000 '--env=ADT_TEST_TRIGGERS=llvm-toolchain-18/1:18.1.8-9ubuntu1~24.04' -- ssh -s /home/ubuntu/autopkgtest/ssh-setup/nova -- --flavor autopkgtest-s390x --security-groups autopkgtest-juju-7f2275-prod-proposed-migration-environment-2@bos03-s390x-23.secgroup --name adt-noble-s390x-ghdl-20241009-184641-juju-7f2275-prod-proposed-migration-environment-2-e008e2c2-05b3-4153-9da7-3bd913bb98ce --image adt/ubuntu-noble-s390x-server --keyname testbed-juju-7f2275-prod-proposed-migration-environment-2 --net-id=net_prod-proposed-migration-s390x -e TERM=linux -e ''"'"'http_proxy=http://squid.internal:3128'"'"'' -e ''"'"'https_proxy=http://squid.internal:3128'"'"'' -e ''"'"'no_proxy=127.0.0.1,127.0.1.1,login.ubuntu.com,localhost,localdomain,novalocal,internal,archive.ubuntu.com,ports.ubuntu.com,security.ubuntu.com,ddebs.ubuntu.com,changelogs.ubuntu.com,keyserver.ubuntu.com,launchpadlibrarian.net,launchpadcontent.net,launchpad.net,10.24.0.0/24,keystone.ps5.canonical.com,objectstorage.prodstack5.canonical.com'"'"'' --mirror=http://ftpmaster.internal/ubuntu/ 77s autopkgtest [18:47:59]: testbed dpkg architecture: s390x 77s autopkgtest [18:47:59]: testbed apt version: 2.7.14build2 77s autopkgtest [18:47:59]: @@@@@@@@@@@@@@@@@@@@ test bed setup 78s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [265 kB] 78s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [102 kB] 78s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [48.2 kB] 78s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [4708 B] 78s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [2292 B] 78s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main s390x Packages [95.6 kB] 78s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main s390x c-n-f Metadata [3252 B] 78s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x Packages [1176 B] 78s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x c-n-f Metadata [116 B] 78s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x Packages [596 kB] 78s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x c-n-f Metadata [5732 B] 78s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x Packages [772 B] 78s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x c-n-f Metadata [172 B] 80s Fetched 1125 kB in 1s (1478 kB/s) 80s Reading package lists... 83s Reading package lists... 83s Building dependency tree... 83s Reading state information... 83s Calculating upgrade... 83s The following packages will be upgraded: 83s libclang-cpp18 libclang1-18 libllvm18 83s 3 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 83s Need to get 58.4 MB of archives. 83s After this operation, 3933 kB disk space will be freed. 83s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libclang-cpp18 s390x 1:18.1.8-9ubuntu1~24.04 [15.9 MB] 84s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libllvm18 s390x 1:18.1.8-9ubuntu1~24.04 [33.2 MB] 86s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libclang1-18 s390x 1:18.1.8-9ubuntu1~24.04 [9298 kB] 86s Fetched 58.4 MB in 3s (18.9 MB/s) 87s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 78297 files and directories currently installed.) 87s Preparing to unpack .../libclang-cpp18_1%3a18.1.8-9ubuntu1~24.04_s390x.deb ... 87s Unpacking libclang-cpp18 (1:18.1.8-9ubuntu1~24.04) over (1:18.1.3-1ubuntu1) ... 87s Preparing to unpack .../libllvm18_1%3a18.1.8-9ubuntu1~24.04_s390x.deb ... 87s Unpacking libllvm18:s390x (1:18.1.8-9ubuntu1~24.04) over (1:18.1.3-1ubuntu1) ... 87s Preparing to unpack .../libclang1-18_1%3a18.1.8-9ubuntu1~24.04_s390x.deb ... 87s Unpacking libclang1-18 (1:18.1.8-9ubuntu1~24.04) over (1:18.1.3-1ubuntu1) ... 88s Setting up libllvm18:s390x (1:18.1.8-9ubuntu1~24.04) ... 88s Setting up libclang1-18 (1:18.1.8-9ubuntu1~24.04) ... 88s Setting up libclang-cpp18 (1:18.1.8-9ubuntu1~24.04) ... 88s Processing triggers for libc-bin (2.39-0ubuntu8.3) ... 88s Reading package lists... 88s Building dependency tree... 88s Reading state information... 88s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 89s Hit:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease 89s Hit:2 http://ftpmaster.internal/ubuntu noble InRelease 89s Hit:3 http://ftpmaster.internal/ubuntu noble-updates InRelease 89s Hit:4 http://ftpmaster.internal/ubuntu noble-security InRelease 89s Reading package lists... 89s Reading package lists... 90s Building dependency tree... 90s Reading state information... 90s Calculating upgrade... 90s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 90s Reading package lists... 90s Building dependency tree... 90s Reading state information... 90s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 93s autopkgtest [18:48:15]: testbed running kernel: Linux 6.8.0-45-generic #45-Ubuntu SMP Fri Aug 30 11:09:37 UTC 2024 93s autopkgtest [18:48:15]: @@@@@@@@@@@@@@@@@@@@ apt-source ghdl 97s Get:1 http://ftpmaster.internal/ubuntu noble/universe ghdl 4.1.0+dfsg-0ubuntu2 (dsc) [2939 B] 97s Get:2 http://ftpmaster.internal/ubuntu noble/universe ghdl 4.1.0+dfsg-0ubuntu2 (tar) [7245 kB] 97s Get:3 http://ftpmaster.internal/ubuntu noble/universe ghdl 4.1.0+dfsg-0ubuntu2 (diff) [27.2 kB] 97s gpgv: Signature made Thu Apr 18 07:39:49 2024 UTC 97s gpgv: using RSA key 568BF22A66337CBFC9A6B9B72C83DBC8E9BD0E37 97s gpgv: Can't check signature: No public key 97s dpkg-source: warning: cannot verify inline signature for ./ghdl_4.1.0+dfsg-0ubuntu2.dsc: no acceptable signature found 98s autopkgtest [18:48:20]: testing package ghdl version 4.1.0+dfsg-0ubuntu2 98s autopkgtest [18:48:20]: build not needed 101s autopkgtest [18:48:23]: test command1: preparing testbed 102s Reading package lists... 103s Building dependency tree... 103s Reading state information... 103s Starting pkgProblemResolver with broken count: 0 103s Starting 2 pkgProblemResolver with broken count: 0 103s Done 103s The following additional packages will be installed: 103s cpp cpp-13 cpp-13-s390x-linux-gnu cpp-s390x-linux-gnu gcc gcc-13 gcc-13-base 103s gcc-13-s390x-linux-gnu gcc-s390x-linux-gnu ghdl-common ghdl-gcc ghdl-tools 103s libasan8 libatomic1 libcc1-0 libgcc-13-dev libgnat-13 libgomp1 libisl23 103s libitm1 libmpc3 libubsan1 zlib1g-dev 103s Suggested packages: 103s cpp-doc gcc-13-locales cpp-13-doc gcc-multilib manpages-dev autoconf 103s automake libtool flex bison gdb gcc-doc gcc-13-multilib gcc-13-doc 103s gdb-s390x-linux-gnu 103s The following NEW packages will be installed: 103s autopkgtest-satdep cpp cpp-13 cpp-13-s390x-linux-gnu cpp-s390x-linux-gnu gcc 103s gcc-13 gcc-13-base gcc-13-s390x-linux-gnu gcc-s390x-linux-gnu ghdl-common 103s ghdl-gcc ghdl-tools libasan8 libatomic1 libcc1-0 libgcc-13-dev libgnat-13 103s libgomp1 libisl23 libitm1 libmpc3 libubsan1 zlib1g-dev 103s 0 upgraded, 24 newly installed, 0 to remove and 0 not upgraded. 103s Need to get 50.7 MB/50.7 MB of archives. 103s After this operation, 162 MB of additional disk space will be used. 103s Get:1 /tmp/autopkgtest.57NWkY/1-autopkgtest-satdep.deb autopkgtest-satdep s390x 0 [716 B] 103s Get:2 http://ftpmaster.internal/ubuntu noble/main s390x gcc-13-base s390x 13.2.0-23ubuntu4 [48.9 kB] 103s Get:3 http://ftpmaster.internal/ubuntu noble/main s390x libisl23 s390x 0.26-3build1 [713 kB] 103s Get:4 http://ftpmaster.internal/ubuntu noble/main s390x libmpc3 s390x 1.3.1-1build1 [58.4 kB] 103s Get:5 http://ftpmaster.internal/ubuntu noble/main s390x cpp-13-s390x-linux-gnu s390x 13.2.0-23ubuntu4 [9939 kB] 104s Get:6 http://ftpmaster.internal/ubuntu noble/main s390x cpp-13 s390x 13.2.0-23ubuntu4 [1030 B] 104s Get:7 http://ftpmaster.internal/ubuntu noble/main s390x cpp-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [5308 B] 104s Get:8 http://ftpmaster.internal/ubuntu noble/main s390x cpp s390x 4:13.2.0-7ubuntu1 [22.4 kB] 104s Get:9 http://ftpmaster.internal/ubuntu noble/main s390x libcc1-0 s390x 14-20240412-0ubuntu1 [49.9 kB] 104s Get:10 http://ftpmaster.internal/ubuntu noble/main s390x libgomp1 s390x 14-20240412-0ubuntu1 [151 kB] 104s Get:11 http://ftpmaster.internal/ubuntu noble/main s390x libitm1 s390x 14-20240412-0ubuntu1 [31.1 kB] 104s Get:12 http://ftpmaster.internal/ubuntu noble/main s390x libatomic1 s390x 14-20240412-0ubuntu1 [9398 B] 104s Get:13 http://ftpmaster.internal/ubuntu noble/main s390x libasan8 s390x 14-20240412-0ubuntu1 [3003 kB] 104s Get:14 http://ftpmaster.internal/ubuntu noble/main s390x libubsan1 s390x 14-20240412-0ubuntu1 [1188 kB] 104s Get:15 http://ftpmaster.internal/ubuntu noble/main s390x libgcc-13-dev s390x 13.2.0-23ubuntu4 [1004 kB] 104s Get:16 http://ftpmaster.internal/ubuntu noble/main s390x gcc-13-s390x-linux-gnu s390x 13.2.0-23ubuntu4 [19.1 MB] 104s Get:17 http://ftpmaster.internal/ubuntu noble/main s390x gcc-13 s390x 13.2.0-23ubuntu4 [472 kB] 104s Get:18 http://ftpmaster.internal/ubuntu noble/main s390x gcc-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [1208 B] 104s Get:19 http://ftpmaster.internal/ubuntu noble/main s390x gcc s390x 4:13.2.0-7ubuntu1 [5014 B] 104s Get:20 http://ftpmaster.internal/ubuntu noble/universe s390x ghdl-common s390x 4.1.0+dfsg-0ubuntu2 [167 kB] 104s Get:21 http://ftpmaster.internal/ubuntu noble/universe s390x libgnat-13 s390x 13.2.0-23ubuntu4 [1499 kB] 104s Get:22 http://ftpmaster.internal/ubuntu noble-updates/main s390x zlib1g-dev s390x 1:1.3.dfsg-3.1ubuntu2.1 [904 kB] 105s Get:23 http://ftpmaster.internal/ubuntu noble/universe s390x ghdl-gcc s390x 4.1.0+dfsg-0ubuntu2 [12.3 MB] 105s Get:24 http://ftpmaster.internal/ubuntu noble/universe s390x ghdl-tools s390x 4.1.0+dfsg-0ubuntu2 [31.2 kB] 105s Fetched 50.7 MB in 2s (25.2 MB/s) 105s Selecting previously unselected package gcc-13-base:s390x. 105s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 78297 files and directories currently installed.) 105s Preparing to unpack .../00-gcc-13-base_13.2.0-23ubuntu4_s390x.deb ... 105s Unpacking gcc-13-base:s390x (13.2.0-23ubuntu4) ... 105s Selecting previously unselected package libisl23:s390x. 105s Preparing to unpack .../01-libisl23_0.26-3build1_s390x.deb ... 105s Unpacking libisl23:s390x (0.26-3build1) ... 105s Selecting previously unselected package libmpc3:s390x. 105s Preparing to unpack .../02-libmpc3_1.3.1-1build1_s390x.deb ... 105s Unpacking libmpc3:s390x (1.3.1-1build1) ... 105s Selecting previously unselected package cpp-13-s390x-linux-gnu. 105s Preparing to unpack .../03-cpp-13-s390x-linux-gnu_13.2.0-23ubuntu4_s390x.deb ... 105s Unpacking cpp-13-s390x-linux-gnu (13.2.0-23ubuntu4) ... 105s Selecting previously unselected package cpp-13. 105s Preparing to unpack .../04-cpp-13_13.2.0-23ubuntu4_s390x.deb ... 105s Unpacking cpp-13 (13.2.0-23ubuntu4) ... 105s Selecting previously unselected package cpp-s390x-linux-gnu. 105s Preparing to unpack .../05-cpp-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... 105s Unpacking cpp-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 105s Selecting previously unselected package cpp. 105s Preparing to unpack .../06-cpp_4%3a13.2.0-7ubuntu1_s390x.deb ... 105s Unpacking cpp (4:13.2.0-7ubuntu1) ... 105s Selecting previously unselected package libcc1-0:s390x. 105s Preparing to unpack .../07-libcc1-0_14-20240412-0ubuntu1_s390x.deb ... 105s Unpacking libcc1-0:s390x (14-20240412-0ubuntu1) ... 105s Selecting previously unselected package libgomp1:s390x. 105s Preparing to unpack .../08-libgomp1_14-20240412-0ubuntu1_s390x.deb ... 105s Unpacking libgomp1:s390x (14-20240412-0ubuntu1) ... 105s Selecting previously unselected package libitm1:s390x. 105s Preparing to unpack .../09-libitm1_14-20240412-0ubuntu1_s390x.deb ... 105s Unpacking libitm1:s390x (14-20240412-0ubuntu1) ... 105s Selecting previously unselected package libatomic1:s390x. 105s Preparing to unpack .../10-libatomic1_14-20240412-0ubuntu1_s390x.deb ... 105s Unpacking libatomic1:s390x (14-20240412-0ubuntu1) ... 105s Selecting previously unselected package libasan8:s390x. 105s Preparing to unpack .../11-libasan8_14-20240412-0ubuntu1_s390x.deb ... 105s Unpacking libasan8:s390x (14-20240412-0ubuntu1) ... 105s Selecting previously unselected package libubsan1:s390x. 105s Preparing to unpack .../12-libubsan1_14-20240412-0ubuntu1_s390x.deb ... 105s Unpacking libubsan1:s390x (14-20240412-0ubuntu1) ... 105s Selecting previously unselected package libgcc-13-dev:s390x. 105s Preparing to unpack .../13-libgcc-13-dev_13.2.0-23ubuntu4_s390x.deb ... 105s Unpacking libgcc-13-dev:s390x (13.2.0-23ubuntu4) ... 105s Selecting previously unselected package gcc-13-s390x-linux-gnu. 105s Preparing to unpack .../14-gcc-13-s390x-linux-gnu_13.2.0-23ubuntu4_s390x.deb ... 105s Unpacking gcc-13-s390x-linux-gnu (13.2.0-23ubuntu4) ... 106s Selecting previously unselected package gcc-13. 106s Preparing to unpack .../15-gcc-13_13.2.0-23ubuntu4_s390x.deb ... 106s Unpacking gcc-13 (13.2.0-23ubuntu4) ... 106s Selecting previously unselected package gcc-s390x-linux-gnu. 106s Preparing to unpack .../16-gcc-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... 106s Unpacking gcc-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 106s Selecting previously unselected package gcc. 106s Preparing to unpack .../17-gcc_4%3a13.2.0-7ubuntu1_s390x.deb ... 106s Unpacking gcc (4:13.2.0-7ubuntu1) ... 106s Selecting previously unselected package ghdl-common. 106s Preparing to unpack .../18-ghdl-common_4.1.0+dfsg-0ubuntu2_s390x.deb ... 106s Unpacking ghdl-common (4.1.0+dfsg-0ubuntu2) ... 106s Selecting previously unselected package libgnat-13:s390x. 106s Preparing to unpack .../19-libgnat-13_13.2.0-23ubuntu4_s390x.deb ... 106s Unpacking libgnat-13:s390x (13.2.0-23ubuntu4) ... 106s Selecting previously unselected package zlib1g-dev:s390x. 106s Preparing to unpack .../20-zlib1g-dev_1%3a1.3.dfsg-3.1ubuntu2.1_s390x.deb ... 106s Unpacking zlib1g-dev:s390x (1:1.3.dfsg-3.1ubuntu2.1) ... 106s Selecting previously unselected package ghdl-gcc. 106s Preparing to unpack .../21-ghdl-gcc_4.1.0+dfsg-0ubuntu2_s390x.deb ... 106s Unpacking ghdl-gcc (4.1.0+dfsg-0ubuntu2) ... 106s Selecting previously unselected package ghdl-tools. 106s Preparing to unpack .../22-ghdl-tools_4.1.0+dfsg-0ubuntu2_s390x.deb ... 106s Unpacking ghdl-tools (4.1.0+dfsg-0ubuntu2) ... 106s Selecting previously unselected package autopkgtest-satdep. 106s Preparing to unpack .../23-1-autopkgtest-satdep.deb ... 106s Unpacking autopkgtest-satdep (0) ... 106s Setting up ghdl-common (4.1.0+dfsg-0ubuntu2) ... 106s Setting up ghdl-tools (4.1.0+dfsg-0ubuntu2) ... 106s Setting up libgomp1:s390x (14-20240412-0ubuntu1) ... 106s Setting up gcc-13-base:s390x (13.2.0-23ubuntu4) ... 106s Setting up libmpc3:s390x (1.3.1-1build1) ... 106s Setting up libatomic1:s390x (14-20240412-0ubuntu1) ... 106s Setting up libgnat-13:s390x (13.2.0-23ubuntu4) ... 106s Setting up libubsan1:s390x (14-20240412-0ubuntu1) ... 106s Setting up zlib1g-dev:s390x (1:1.3.dfsg-3.1ubuntu2.1) ... 106s Setting up libasan8:s390x (14-20240412-0ubuntu1) ... 106s Setting up libisl23:s390x (0.26-3build1) ... 106s Setting up libcc1-0:s390x (14-20240412-0ubuntu1) ... 106s Setting up libitm1:s390x (14-20240412-0ubuntu1) ... 106s Setting up cpp-13-s390x-linux-gnu (13.2.0-23ubuntu4) ... 106s Setting up libgcc-13-dev:s390x (13.2.0-23ubuntu4) ... 106s Setting up cpp-13 (13.2.0-23ubuntu4) ... 106s Setting up cpp-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 106s Setting up gcc-13-s390x-linux-gnu (13.2.0-23ubuntu4) ... 106s Setting up gcc-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 106s Setting up gcc-13 (13.2.0-23ubuntu4) ... 106s Setting up cpp (4:13.2.0-7ubuntu1) ... 106s Setting up gcc (4:13.2.0-7ubuntu1) ... 106s Setting up ghdl-gcc (4.1.0+dfsg-0ubuntu2) ... 106s Setting up autopkgtest-satdep (0) ... 106s Processing triggers for man-db (2.12.0-4build2) ... 106s Processing triggers for libc-bin (2.39-0ubuntu8.3) ... 108s (Reading database ... 78752 files and directories currently installed.) 108s Removing autopkgtest-satdep (0) ... 109s autopkgtest [18:48:31]: test command1: debian/tests/ghdl-tests autopkgtest gcc 109s autopkgtest [18:48:31]: test command1: [----------------------- 109s > tests: sanity gna vests synth vpi vhpi 109s > args: --keep-going 109s GHDL is: /usr/bin/ghdl-gcc 109s GHDL 4.1.0 (Ubuntu 4.1.0+dfsg-0ubuntu2) [Dunoon edition] 109s Compiled with GNAT Version: 13.2.0 109s GCC 13.2.0 code generator 109s Written by Tristan Gingold. 109s 109s Copyright (C) 2003 - 2024 Tristan Gingold. 109s GHDL is free software, covered by the GNU General Public License. There is NO 109s warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. 109s REF: unknown 109s HASH: unknown 109s GHDL help 109s usage: /usr/bin/ghdl-gcc COMMAND [OPTIONS] ... 109s COMMAND is one of: 109s analyze [OPTS] FILEs 109s Analyze one or multiple VHDL files 109s aliases: -a, analyse 109s elaborate [OPTS] UNIT [ARCH] 109s Elaborate design UNIT 109s alias: -e 109s run UNIT [ARCH] [RUNOPTS] 109s Run design UNIT 109s alias: -r 109s elab-run [OPTS] UNIT [ARCH] [RUNOPTS] 109s Elaborate and run design UNIT 109s alias: --elab-run 109s bind [OPTS] UNIT [ARCH] 109s Bind design UNIT 109s alias: --bind 109s link [OPTS] UNIT [ARCH] 109s Link design UNIT 109s alias: --link 109s list-link [OPTS] UNIT [ARCH] 109s List objects file to link UNIT 109s alias: --list-link 109s compile [OPTS] FILEs -e UNIT [ARCH] 109s Generate whole sequence to elaborate design UNIT from FILEs 109s alias: -c 109s make [OPTS] UNIT [ARCH] 109s Make design UNIT 109s alias: -m 109s gen-makefile [OPTS] UNIT [ARCH] 109s Generate a Makefile for design UNIT 109s alias: --gen-makefile 109s gen-depends [OPTS] UNIT [ARCH] 109s Generate dependencies of design UNIT 109s alias: --gen-depends 109s disp-config 109s Display tools path 109s aliases: --disp-config, dispconfig, --dispconfig 109s bootstrap-std 109s (internal) Compile std.standard 109s alias: --bootstrap-standard 109s synth [FILES... -e] UNIT [ARCH] 109s Synthesis from UNIT 109s alias: --synth 109s import [OPTS] FILEs 109s Import units of FILEs 109s alias: -i 109s syntax [OPTS] FILEs 109s Check syntax of FILEs 109s alias: -s 109s dir [LIBs] 109s Display contents of the libraries 109s alias: --dir 109s files FILEs 109s Display units in FILES 109s alias: -f 109s clean 109s Remove generated files 109s alias: --clean 109s remove 109s Remove generated files and library file 109s alias: --remove 109s disp-standard 109s Disp std.standard in pseudo-vhdl 109s alias: --disp-standard 109s elab-order [--libraries] [OPTS] UNIT [ARCH] 109s Display ordered source files 109s alias: --elab-order 109s find-top 109s Display possible top entity in work library 109s alias: --find-top 109s chop [OPTS] FILEs 109s Chop FILEs 109s alias: --chop 109s lines FILEs 109s Precede line with its number 109s alias: --lines 109s reprint [OPTS] FILEs 109s Redisplay FILEs 109s alias: --reprint 109s fmt [OPTS] FILEs 109s Format FILEs 109s alias: --format 109s compare-tokens [OPTS] REF FILEs 109s Compare FILEs with REF 109s alias: --compare-tokens 109s pp-html FILEs 109s Pretty-print FILEs in HTML 109s alias: --pp-html 109s xref-html FILEs 109s Display FILEs in HTML with xrefs 109s alias: --xref-html 109s xref FILEs 109s Generate xrefs 109s alias: --xref 109s --vpi-compile CMD ARGS 109s Compile with VPI/VHPI include path 109s --vpi-link CMD ARGS 109s Link with VPI/VHPI library 109s --vpi-cflags 109s Display VPI/VHPI compile flags 109s --vpi-ldflags 109s Display VPI/VHPI link flags 109s --vpi-include-dir 109s Display VPI/VHPI include directory 109s --vpi-library-dir 109s Display VPI/VHPI library directory 109s --vpi-library-dir-unix 109s Display VPI/VHPI library directory (unix form) 109s file-to-xml FILEs 109s Dump AST in XML 109s alias: --file-to-xml 109s --libghdl-name 109s Display libghdl name 109s --libghdl-library-path 109s Display libghdl library path 109s --libghdl-include-dir 109s Display libghdl include directory 109s help [CMD] 109s Display this help or [help on CMD] 109s aliases: -h, --help 109s version 109s Display ghdl version 109s aliases: -v, --version 109s help-options 109s Display help for analyzer options 109s alias: --help-options, opts-help, --options-help 109s help-warnings 109s Display help about all the warnings 109s alias: --help-warnings 109s 109s To display the options of a GHDL program, 109s run your program with the 'help' option. 109s Also see 'opts-help' for analyzer options. 109s 109s Please, refer to the GHDL manual for more information. 109s Report issues on https://github.com/ghdl/ghdl 109s [GHDL - test] sanity 109s ..Running with 2 test workers. 109s sanity 005examples: ok 109s sanity 006upf: ok 109s sanity 008coverage: ok 110s sanity 000hello: ok 110s sanity 001hello87: ok 110s sanity 002hello2008: ok 110s sanity 004all08: ok 110s sanity tests are successful 110s [GHDL - test] gna 110s ..Running with 2 test workers. 110s gna issue2239: ok 110s gna bug01: ok 110s gna bug010: ok 110s gna issue2244: ok 110s gna issue225: ok 110s gna bug0100: ok 110s gna bug0101: ok 110s gna issue2250: ok 110s gna bug0103: ok 110s gna bug0104: ok 110s gna issue2264: ok 110s gna issue2267: ok 111s gna issue2269: ok 111s gna bug0105: ok 111s gna bug0106: ok 111s gna bug0108: ok 111s gna issue227: ok 111s gna issue2271: ok 111s gna issue2276: ok 111s gna issue2277: ok 111s gna issue228: ok 111s gna bug0109: ok 111s gna issue2288: ok 111s gna issue2299: ok 111s gna bug011: ok 111s gna bug0110: ok 111s gna bug0111: ok 111s gna bug0112: ok 111s gna bug0114: ok 111s gna issue2303: ok 111s gna issue2306: ok 111s gna issue2307: ok 111s gna bug0115: ok 111s gna issue2323: ok 111s gna issue2326: ok 112s gna issue233: ok 112s gna issue2333: ok 112s gna bug0117: ok 112s gna bug0118: ok 112s gna bug012: ok 112s gna bug0120: ok 112s gna bug0122: ok 112s gna bug0123: ok 112s gna bug0124: ok 112s gna issue2336: ok 112s gna bug0125: ok 112s gna bug0126: ok 112s gna bug0128: ok 112s gna issue2337: ok 112s gna issue2344: ok 112s gna bug0129: ok 112s gna bug0130: ok 112s gna issue2346: ok 112s gna bug0131: ok 112s gna issue2349: ok 112s gna bug0133: ok 112s gna issue235: ok 112s gna bug0134: ok 112s gna bug0135: ok 113s gna issue2350: ok 113s gna bug0136: ok 113s gna bug0137: ok 113s gna bug0138: ok 113s gna bug0139: ok 113s gna bug014: ok 113s gna issue2353: ok 113s gna issue2356: ok 113s gna bug015: ok 113s gna bug016: ok 113s gna issue2357: ok 113s gna issue2365: ok 113s gna issue2368: ok 113s gna issue237: ok 113s gna issue238: ok 113s gna issue2381: ok 113s gna issue2382: ok 113s gna issue2383: ok 114s gna issue2388: ok 114s gna issue2393: ok 114s gna issue2395: ok 114s gna issue2396: ok 114s gna issue24: ok 114s gna issue2402: ok 114s gna issue2407: ok 114s gna bug017: ok 114s gna bug018: ok 114s gna issue241: ok 114s gna issue2410: ok 115s gna issue2417: ok 115s gna issue242: ok 115s gna issue2421: ok 116s gna issue2422: ok 116s gna issue2424: ok 116s gna issue2425: ok 116s gna issue2427: ok 116s gna bug019: ok 116s gna issue2429: ok 116s gna issue243: ok 116s gna bug02: ok 116s gna issue2430: ok 116s gna bug021: ok 116s gna bug022: ok 116s gna issue2432: ok 116s gna issue2433: ok 116s gna bug023: ok 116s gna bug024: ok 116s gna bug026: ok 116s gna bug027: ok 116s gna bug028: ok 116s gna bug029: ok 116s gna issue2435: ok 117s gna bug03: ok 117s gna issue2437: ok 117s gna bug030: ok 117s gna bug031: ok 117s gna bug032: ok 117s gna issue244: ok 117s gna bug033: ok 117s gna issue2440: ok 117s gna bug034: ok 117s gna issue2441: ok 117s gna issue2445: ok 117s gna issue2447: ok 117s gna issue2448: ok 117s gna issue2452: ok 117s gna issue2454: ok 117s gna issue2455: ok 117s gna issue2458: ok 117s gna issue2459: ok 117s gna issue2460: ok 117s gna issue2462: ok 117s gna issue2463: ok 118s gna issue2465: ok 118s gna issue2466: ok 118s gna issue2470: ok 118s gna issue2471: ok 118s gna issue2473: ok 118s gna issue2476: ok 119s gna bug035: ok 119s gna bug036: ok 119s gna issue2477: ok 119s gna issue2480: ok 119s gna issue2481: ok 119s gna issue2486: ok 119s gna issue2488: ok 119s gna issue2489: ok 119s gna issue2494: ok 119s gna issue2497: ok 119s gna issue2498: ok 119s gna issue25: ok 119s gna issue2500: ok 119s gna issue2502: ok 119s gna issue2505: ok 119s gna issue2506: ok 119s gna issue2507: ok 119s gna issue2508: ok 119s gna issue2509: ok 120s gna issue2510: ok 120s gna issue2516: ok 120s gna issue2519: ok 120s gna issue2525: ok 120s gna issue2526: ok 120s gna issue2528: ok 120s gna issue253: ok 120s gna issue2531: ok 120s gna issue2533: ok 120s gna issue2536: ok 120s gna issue2538: ok 120s gna issue2539: ok 120s gna issue254: ok 120s gna issue2540: ok 120s gna issue2541: ok 120s gna issue2542: ok 120s gna issue2544: ok 120s gna issue2546: ok 120s gna issue2547: ok 120s gna issue2549: ok 120s gna issue2550: ok 120s gna issue2552: ok 120s gna issue2554: ok 121s gna issue2556: ok 121s gna issue2558: ok 121s gna issue256: ok 121s gna issue2561: ok 121s gna bug037: ok 121s gna bug039: ok 121s gna issue2562: ok 121s gna bug04: ok 121s gna issue2564: ok 121s gna issue2566: ok 121s gna issue2567: ok 121s gna issue2569: ok 121s gna issue257: ok 122s gna issue2570: ok 122s gna issue2571: ok 122s gna issue2572: ok 122s gna issue2573: ok 122s gna issue2575: ok 122s gna issue2579: ok 122s gna issue258: ok 122s gna issue2580: ok 123s gna issue2581: ok 123s gna issue2584: ok 123s gna issue2586: ok 123s gna issue2587: ok 123s gna issue259: ok 123s gna issue2590: ok 123s gna issue2592: ok 123s gna issue2593: ok 123s gna issue2594: ok 123s gna issue2597: ok 123s gna issue2598: ok 123s gna issue26: ok 123s gna issue2607: ok 123s gna issue261: ok 123s gna issue2611: ok 123s gna issue2613: ok 123s gna issue2614: ok 123s gna issue2618: ok 123s gna issue262: ok 123s gna issue2620: ok 123s gna issue2621: ok 123s gna issue2624: ok 124s gna issue2626: ok 124s gna issue263: ok 124s gna issue2630: ok 124s gna issue2631: ok 124s gna issue264: ok 125s gna issue2645: ok 125s gna issue265: ok 125s gna issue268: ok 125s gna issue273: ok 125s gna issue278: ok 125s gna issue283: ok 125s gna issue290: ok 125s gna issue293: ok 125s gna issue295: ok 134s gna bug040: ok 134s gna bug041: ok 134s gna bug042: ok 134s gna bug043: ok 134s gna bug044: ok 134s gna bug045: ok 134s gna bug046: ok 134s gna bug047: ok 134s gna bug048: ok 134s gna bug049: ok 135s gna bug05: ok 135s gna bug050: ok 135s gna bug051: ok 135s gna bug052: ok 135s gna bug053: ok 135s gna bug054: ok 135s gna bug055: ok 135s gna bug056: ok 135s gna bug057: ok 135s gna bug058: ok 135s gna bug059: ok 136s gna bug06: ok 136s gna bug060: ok 136s gna bug061: ok 136s gna bug062: ok 136s gna bug063: ok 136s gna bug064: ok 136s gna bug065: ok 136s gna bug066: ok 136s gna bug067: ok 136s gna bug069: ok 136s gna bug07: ok 136s gna bug071: ok 136s gna bug072: ok 136s gna bug073: ok 136s gna bug074: ok 136s gna bug075: ok 137s gna bug077: ok 137s gna bug078: ok 137s gna bug079: ok 137s gna issue30: ok 137s gna issue300: ok 138s gna bug08: ok 138s gna bug080: ok 138s gna bug081: ok 138s gna bug082: ok 138s gna bug083: ok 138s gna issue301: ok 138s gna issue309: ok 138s gna issue310: ok 138s gna issue312: ok 138s gna bug084: ok 138s gna issue314: ok 138s gna issue316: ok 138s gna bug085: ok 139s gna bug086: ok 139s gna bug087: ok 139s gna bug088: ok 139s gna bug09: ok 139s gna bug090: ok 139s gna bug092: ok 139s gna bug093: ok 139s gna bug094: ok 139s gna bug096: ok 139s gna bug097: ok 139s gna bug098: ok 139s gna bug099: ok 139s gna bug14953: ok 139s gna bug15638: ok 139s gna bug15702: ok 139s gna bug15933: ok 139s gna bug15966: ok 140s gna bug15993: ok 140s gna bug16095: ok 140s gna bug16096: ok 140s gna bug16144: ok 140s gna bug16287: ok 140s gna bug16695: ok 140s gna bug16782: ok 140s gna bug17127: ok 140s gna bug17202: ok 140s gna bug17203: ok 141s gna bug17309: ok 141s gna bug17545: ok 141s gna bug17759: ok 142s gna bug18280: ok 142s gna bug18351: ok 142s gna bug18353: ok 142s gna bug18359: ok 142s gna bug18360: ok 142s gna bug18361: ok 142s gna bug18659: ok 142s gna bug18810: ok 142s gna bug19195: ok 142s gna bug20255: ok 143s gna bug20312: ok 143s gna bug20549: ok 143s gna bug20597: ok 143s gna bug20703: ok 143s gna bug20767: ok 143s gna bug20771: ok 143s gna bug21052: ok 143s gna bug21078: ok 143s gna bug21274: ok 143s gna bug21332: ok 143s gna bug21487: ok 143s gna bug21497: ok 143s gna bug21500: ok 143s gna bug21513: ok 143s gna bug22868: ok 143s gna bug23013: ok 144s gna bug23165: ok 144s gna bug23482: ok 144s gna bug24064: ok 144s gna bug24065: ok 144s gna bug24324: ok 144s gna bug24326: ok 144s gna bug7186: ok 144s gna bug7751: ok 144s gna deb573721: ok 145s gna deb585748: ok 145s gna deb585750: ok 145s gna fst01: ok 145s gna gls7: ok 145s gna issue10: ok 145s gna issue1015: ok 145s gna issue317: ok 145s gna issue103: ok 145s gna issue320: ok 145s gna issue321: ok 145s gna issue326: ok 145s gna issue1038: ok 145s gna issue328: ok 146s gna issue1055: ok 146s gna issue1057: ok 146s gna issue106: ok 146s gna issue332: ok 146s gna issue333: ok 146s gna issue1063: ok 146s gna issue1066: ok 146s gna issue1067: ok 146s gna issue107: ok 146s gna issue339: ok 146s gna issue349: ok 146s gna issue351: ok 146s gna issue356: ok 146s gna issue1120: ok 146s gna issue361: ok 146s gna issue367: ok 146s gna issue1123: ok 146s gna issue368: ok 146s gna issue1125: ok 146s gna issue369: ok 146s gna issue1128: ok 146s gna issue370: ok 146s gna issue371: ok 147s gna issue1129: ok 147s gna issue372: ok 147s gna issue373: ok 147s gna issue374: ok 147s gna issue375: ok 147s gna issue1131: ok 147s gna issue1137: ok 147s gna issue1138: ok 147s gna issue376: ok 147s gna issue379: ok 147s gna issue38: ok 147s gna issue381: ok 147s gna issue1145: ok 147s gna issue1152: ok 147s gna issue382: ok 147s gna issue384: ok 147s gna issue387: ok 147s gna issue388: ok 147s gna issue389: ok 147s gna issue392: ok 147s gna issue394: ok 147s gna issue395: ok 147s gna issue1191: ok 147s gna issue1196: ok 147s gna issue397: ok 147s gna issue40: ok 147s gna issue1201: ok 147s gna issue400: ok 147s gna issue1206: ok 147s gna issue406: ok 148s gna issue1224: ok 148s gna issue407: ok 148s gna issue413: ok 148s gna issue1226: ok 148s gna issue1228: ok 148s gna issue1229: ok 148s gna issue123: ok 148s gna issue1233: ok 148s gna issue1240: ok 148s gna issue1246: ok 148s gna issue1249: ok 148s gna issue125: ok 148s gna issue1252: ok 148s gna issue418: ok 148s gna issue1256: ok 148s gna issue419: ok 148s gna issue42: ok 149s gna issue424: ok 149s gna issue43: ok 149s gna issue439: ok 149s gna issue1257: ok 149s gna issue126: ok 149s gna issue44: ok 149s gna issue1262: ok 149s gna issue440: ok 149s gna issue441: ok 149s gna issue447: ok 149s gna issue449: ok 149s gna issue1268: ok 149s gna issue1269: ok 149s gna issue1274: ok 149s gna issue1287: ok 149s gna issue1288: ok 149s gna issue1292: ok 149s gna issue45: ok 149s gna issue450: ok 149s gna issue1295: ok 149s gna issue451: ok 149s gna issue456: ok 149s gna issue1300: ok 149s gna issue458: ok 150s gna issue132: ok 150s gna issue1323: ok 150s gna issue1326: ok 150s gna issue459: ok 150s gna issue1338: ok 150s gna issue461: ok 150s gna issue465: ok 150s gna issue1346: ok 150s gna issue467: ok 150s gna issue470: ok 150s gna issue471: ok 150s gna issue472: ok 150s gna issue1347: ok 150s gna issue473: ok 150s gna issue474: ok 150s gna issue1354: ok 150s gna issue136: ok 150s gna issue1360: ok 150s gna issue476: ok 150s gna issue478: ok 151s gna issue1361: ok 151s gna issue1362: ok 151s gna issue1364: ok 151s gna issue1370: ok 151s gna issue1371: ok 151s gna issue1376: ok 152s gna issue1377: ok 152s gna issue1379: ok 152s gna issue1381: ok 152s gna issue1384: ok 152s gna issue1385: ok 152s gna issue1386: ok 152s gna issue1387: ok 152s gna issue1389: ok 152s gna issue1392: ok 152s gna issue14: ok 152s gna issue140: ok 152s gna issue1403: ok 152s gna issue1404: ok 152s gna issue1405: ok 152s gna issue141: ok 152s gna issue1412: ok 152s gna issue1415: ok 152s gna issue1416: ok 153s gna issue1419: ok 153s gna issue1420: ok 153s gna issue1425: ok 153s gna issue1429: ok 153s gna issue143: ok 153s gna issue1431: ok 153s gna issue144: ok 153s gna issue1440: ok 154s gna issue1443: ok 154s gna issue1453: ok 154s gna issue1455: ok 154s gna issue1456: ok 154s gna issue1461: ok 154s gna issue1469: ok 154s gna issue147: ok 154s gna issue1473: ok 154s gna issue1474: ok 154s gna issue148: ok 154s gna issue1480: ok 154s gna issue1485: ok 154s gna issue1486: ok 154s gna issue1490: ok 154s gna issue1493: ok 154s gna issue15: ok 154s gna issue150: ok 154s gna issue1500: ok 154s gna issue151: ok 155s gna issue1514: ok 155s gna issue1515: ok 155s gna issue50: ok 155s gna issue516: ok 155s gna issue1517: ok 155s gna issue520: ok 155s gna issue152: ok 155s gna issue521: ok 155s gna issue1523: ok 155s gna issue1527: ok 155s gna issue1528: ok 155s gna issue522: ok 155s gna issue524: ok 155s gna issue525: ok 155s gna issue529: ok 155s gna issue530: ok 155s gna issue531: ok 155s gna issue535: ok 156s gna issue542: ok 156s gna issue1549: ok 156s gna issue155: ok 156s gna issue543: ok 156s gna issue156: ok 156s gna issue544: ok 156s gna issue158: ok 156s gna issue546: ok 156s gna issue1588: ok 156s gna issue547: ok 156s gna issue548: ok 156s gna issue1589: ok 156s gna issue552: ok 156s gna issue553: ok 156s gna issue558: ok 156s gna issue1593: ok 156s gna issue559: ok 156s gna issue1597: ok 156s gna issue560: ok 156s gna issue160: ok 156s gna issue1602: ok 156s gna issue1612: ok 156s gna issue1623: ok 156s gna issue561: ok 156s gna issue1624: ok 156s gna issue563: ok 156s gna issue578: ok 156s gna issue584: ok 156s gna issue1625: ok 156s gna issue586: ok 156s gna issue163: ok 156s gna issue1631: ok 156s gna issue598: ok 156s gna issue1637: ok 156s gna issue1639: ok 156s gna issue606: ok 156s gna issue1640: ok 156s gna issue609: ok 156s gna issue1646: ok 157s gna issue1654: ok 157s gna issue610: ok 157s gna issue613: ok 157s gna issue615: ok 157s gna issue616: ok 157s gna issue618: ok 157s gna issue620: ok 157s gna issue621: ok 157s gna issue623: ok 157s gna issue1655: ok 157s gna issue626: ok 157s gna issue627: ok 157s gna issue630: ok 157s gna issue631: ok 157s gna issue1657: ok 157s gna issue632: ok 157s gna issue1664: ok 157s gna issue634: ok 157s gna issue1667: ok 157s gna issue167: ok 157s gna issue1672: ok 157s gna issue1677: ok 157s gna issue1684: ok 158s gna issue1687: ok 158s gna issue1688: ok 158s gna issue1689: ok 158s gna issue1690: ok 158s gna issue1691: ok 158s gna issue1697: ok 158s gna issue635: ok 158s gna issue636: ok 158s gna issue637: ok 158s gna issue17: ok 158s gna issue1700: ok 158s gna issue1704: ok 158s gna issue1708: ok 159s gna issue1715: ok 159s gna issue1717: ok 159s gna issue1718: ok 159s gna issue1721: ok 159s gna issue1723: ok 159s gna issue1724: ok 159s gna issue1726: ok 159s gna issue1727: ok 159s gna issue1736: ok 159s gna issue1751: ok 159s gna issue1757: ok 159s gna issue1759: ok 159s gna issue176: ok 159s gna issue1764: ok 159s gna issue1765: ok 159s gna issue1768: ok 159s gna issue641: ok 160s gna issue642: ok 160s gna issue643: ok 160s gna issue645: ok 160s gna issue1771: ok 160s gna issue1772: ok 160s gna issue1779: ok 160s gna issue646: ok 160s gna issue18: ok 160s gna issue648: ok 160s gna issue1810: ok 160s gna issue652: ok 160s gna issue654: ok 160s gna issue1814: ok 160s gna issue660: ok 160s gna issue1818: ok 160s gna issue663: ok 161s gna issue1820: ok 161s gna issue1823: ok 161s gna issue664: ok 161s gna issue1824: ok 161s gna issue666: ok 161s gna issue1831: ok 161s gna issue1832: ok 161s gna issue1833: ok 161s gna issue1834: ok 161s gna issue668: ok 161s gna issue1836: ok 161s gna issue1837: ok 161s gna issue67: ok 161s gna issue1843: ok 161s gna issue672: ok 161s gna issue1844: ok 161s gna issue676: ok 161s gna issue679: ok 161s gna issue1857: ok 162s gna issue683: ok 162s gna issue685: ok 162s gna issue1862: ok 162s gna issue1864: ok 162s gna issue1867: ok 162s gna issue1872: ok 162s gna issue1875: ok 162s gna issue687: ok 162s gna issue1876: ok 162s gna issue1881: ok 162s gna issue689: ok 162s gna issue1883: ok 162s gna issue69: ok 162s gna issue690: ok 162s gna issue691: ok 162s gna issue1894: ok 162s gna issue1897: ok 162s gna issue692: ok 162s gna issue694: ok 162s gna issue1898: ok 162s gna issue695: ok 162s gna issue190: ok 162s gna issue697: ok 162s gna issue705: ok 163s gna issue1908: ok 163s gna issue191: ok 163s gna issue707: ok 163s gna issue708: ok 163s gna issue1913: ok 163s gna issue71: ok 163s gna issue710: ok 163s gna issue1914: ok 163s gna issue1919: ok 163s gna issue713: ok 163s gna issue1924: ok 163s gna issue715: ok 163s gna issue1934: ok 163s gna issue1935: ok 163s gna issue717: ok 163s gna issue1943: ok 163s gna issue1953: ok 163s gna issue1965: ok 163s gna issue1979: ok 163s gna issue718: ok 163s gna issue719: ok 163s gna issue72: ok 163s gna issue726: ok 163s gna issue729: ok 164s gna issue73: ok 164s gna issue731: ok 164s gna issue734: ok 164s gna issue735: ok 164s gna issue736: ok 164s gna issue737: ok 164s gna issue747: ok 164s gna issue1980: ok 164s gna issue199: ok 164s gna issue1992: ok 164s gna issue756: ok 164s gna issue772: ok 164s gna issue1994: ok 164s gna issue1999: ok 165s gna issue776: ok 165s gna issue777: ok 165s gna issue779: ok 165s gna issue780: ok 165s gna issue786: ok 165s gna issue787: ok 165s gna issue788: ok 165s gna issue791: ok 165s gna issue792: ok 165s gna issue794: ok 165s gna issue795: ok 165s gna issue797: ok 165s gna issue803: ok 165s gna issue2: ok 165s gna issue20: ok 165s gna issue2005: ok 165s gna issue2006: ok 165s gna issue201: ok 165s gna issue807: ok 165s gna issue202: ok 165s gna issue810: ok 165s gna issue813: ok 165s gna issue816: ok 165s gna issue817: ok 165s gna issue2026: ok 165s gna issue2031: ok 165s gna issue2038: ok 165s gna issue204: ok 166s gna issue2048: ok 166s gna issue205: ok 166s gna issue2050: ok 166s gna issue2051: ok 166s gna issue818: ok 166s gna issue2055: ok 166s gna issue821: ok 166s gna issue828: ok 166s gna issue830: ok 166s gna issue838: ok 166s gna issue852: ok 166s gna issue857: ok 166s gna issue860: ok 166s gna issue864: ok 166s gna issue869: ok 166s gna issue873: ok 166s gna issue874: ok 166s gna issue875: ok 167s gna issue877: ok 167s gna issue880: ok 167s gna issue881: ok 167s gna issue885: ok 167s gna issue886: ok 167s gna issue2065: ok 167s gna issue2066: ok 167s gna issue207: ok 167s gna issue887: ok 167s gna issue899: ok 167s gna issue9: ok 167s gna issue2070: ok 167s gna issue912: ok 167s gna issue2071: ok 167s gna issue2076: ok 168s gna issue913: ok 168s gna issue209: ok 168s gna issue916: ok 168s gna issue2091: ok 168s gna issue917: ok 168s gna issue918: ok 168s gna issue922: ok 168s gna issue961: ok 168s gna issue2097: ok 168s gna issue98: ok 168s gna issue983: ok 168s gna issue2098: ok 168s gna issue2100: ok 168s gna issue2101: ok 168s gna issue984: ok 168s gna issue99: ok 168s gna issue2103: ok 168s gna lsp27: ok 169s gna issue2104: ok 169s gna issue2110: ok 169s gna issue2112: ok 169s gna issue2115: ok 169s gna issue2116: ok 170s gna issue2117: ok 170s gna issue2118: ok 170s gna issue212: ok 170s gna issue2131: ok 170s gna issue2134: ok 170s gna issue2136: ok 170s gna issue2138: ok 170s gna issue2141: ok 170s gna issue2147: ok 170s gna issue2148: ok 170s gna issue2150: ok 170s gna issue2152: ok 171s gna issue2153: ok 171s gna issue2155: ok 171s gna issue2156: ok 171s gna issue2157: ok 171s gna issue216: ok 171s gna issue2162: ok 171s gna issue2163: ok 171s gna issue2164: ok 171s gna issue2165: ok 171s gna issue2166: ok 171s gna issue2171: ok 171s gna issue2172: ok 171s gna issue2173: ok 172s gna issue2174: ok 172s gna issue2175: ok 172s gna issue2179: ok 172s gna issue2185: ok 173s gna issue2189: ok 173s gna issue2190: ok 173s gna issue2193: ok 173s gna issue2196: ok 173s gna issue2198: ok 173s gna issue2200: ok 173s gna issue2202: ok 173s gna issue2209: ok 173s gna issue2212: ok 173s gna issue2215: ok 173s gna issue2216: ok 173s gna issue2217: ok 173s gna issue2218: ok 173s gna issue2219: ok 173s gna issue2221: ok 173s gna issue2223: ok 173s gna issue223: ok 173s gna issue2233: ok 173s gna issue2235: ok 173s gna issue2238: ok 187s gna perf02-long: ok 187s gna sr2553: ok 187s gna sr2655: ok 187s gna sr2676: ok 187s gna sr2737: ok 187s gna sr2903: ok 187s gna sr2940: ok 187s gna sr3028: ok 187s gna sr3217: ok 188s gna ticket101: ok 188s gna ticket104: ok 188s gna ticket109: ok 188s gna ticket11: ok 188s gna ticket14: ok 188s gna ticket15: ok 188s gna ticket18: ok 188s gna ticket19: ok 188s gna ticket20: ok 189s gna ticket24: ok 189s gna ticket26: ok 189s gna ticket29: ok 189s gna ticket30: ok 189s gna ticket31: ok 189s gna ticket32: ok 189s gna ticket35: ok 190s gna ticket37: ok 190s gna ticket38: ok 190s gna ticket39: ok 190s gna ticket40: ok 190s gna ticket41: ok 190s gna ticket43: ok 190s gna ticket44: ok 190s gna ticket46: ok 190s gna ticket47: ok 190s gna ticket48: ok 190s gna ticket49: ok 190s gna ticket50: ok 190s gna ticket51: ok 190s gna ticket52: ok 190s gna ticket53: ok 190s gna ticket54: ok 190s gna ticket55: ok 190s gna ticket56: ok 191s gna ticket57: ok 191s gna ticket58: ok 191s gna ticket59: ok 191s gna ticket61: ok 191s gna ticket62: ok 191s gna ticket63: ok 191s gna ticket64: ok 191s gna ticket65: ok 191s gna ticket66: ok 191s gna ticket67: ok 191s gna ticket68: ok 191s gna ticket69: ok 191s gna ticket70: ok 191s gna ticket71: ok 192s gna ticket73: ok 192s gna ticket74: ok 192s gna ticket75: ok 192s gna ticket76: ok 192s gna ticket77: ok 192s gna ticket78: ok 192s gna ticket79: ok 192s gna ticket81: ok 192s gna ticket83: ok 192s gna ticket84: ok 192s gna ticket86: ok 192s gna ticket87: ok 192s gna ticket88: ok 192s gna ticket9: ok 192s gna ticket90: ok 193s gna ticket92: ok 193s gna ticket93: ok 193s gna ticket94: ok 193s gna ticket95: ok 193s gna ticket96: ok 193s gna ticket97: ok 193s gna ticket98: ok 193s gna tests are successful 193s [GHDL - test] vests 329s Vests is OK 329s 29217 vests.log 329s [GHDL - test] synth 329s ..Running with 2 test workers. 329s synth issue1665: ok 329s synth aggr01: ok 330s synth aggr02: ok 330s synth aggr03: ok 330s synth issue1675: ok 330s synth issue1679: ok 330s synth issue1680: ok 330s synth issue1681: ok 330s synth issue1685: ok 330s synth issue1698: ok 330s synth issue1703: ok 330s synth anon01: ok 331s synth issue1731: ok 331s synth issue1734: ok 331s synth issue1780: ok 331s synth issue1781: ok 331s synth issue1832: ok 331s synth issue1838: ok 331s synth issue1859: ok 331s synth issue1860: ok 332s synth arr01: ok 332s synth issue1886: ok 332s synth issue1889: ok 332s synth issue1899: ok 332s synth issue1903: ok 333s synth issue1909: ok 333s synth issue1911: ok 333s synth issue1912: ok 333s synth issue1920: ok 333s synth issue1926: ok 333s synth issue1936: ok 333s synth issue1938: ok 333s synth issue1942: ok 333s synth issue1944: ok 333s synth arr02: ok 333s synth arr03: ok 333s synth issue1945: ok 333s synth issue1947: ok 333s synth issue1948: ok 333s synth issue1949: ok 334s synth issue1951: ok 334s synth issue1961: ok 334s synth issue1962: ok 334s synth issue1968: ok 334s synth issue1971: ok 334s synth issue1972: ok 334s synth issue1977: ok 334s synth issue1978: ok 334s synth issue1986: ok 334s synth issue1991: ok 334s synth issue1993: ok 334s synth issue2002: ok 334s synth issue2011: ok 335s synth asgn01: ok 335s synth assertassumes0: ok 335s synth assign01: ok 335s synth assumeasserts0: ok 335s synth attr01: ok 335s synth issue2013: ok 335s synth issue2019: ok 335s synth issue2021: ok 335s synth issue2025: ok 335s synth issue2032: ok 335s synth issue2033: ok 335s synth issue2034: ok 336s synth issue2035: ok 336s synth issue2041: ok 336s synth issue2042: ok 336s synth attr02: ok 336s synth issue2043: ok 336s synth issue2045: ok 336s synth blackbox01: ok 336s synth blackbox02: ok 337s synth block01: ok 337s synth issue2046: ok 337s synth bug01: ok 337s synth bug02: ok 337s synth bug04: ok 337s synth issue2049: ok 337s synth issue2053: ok 337s synth issue2054: ok 337s synth issue2062: ok 337s synth issue2063: ok 337s synth issue2072: ok 338s synth issue2073: ok 338s synth issue2074: ok 338s synth case01: ok 338s synth case02: ok 339s synth issue2077: ok 339s synth cnt01: ok 339s synth issue2080: ok 339s synth issue2081: ok 339s synth issue2083: ok 339s synth issue2084: ok 339s synth issue2085: ok 339s synth issue2086: ok 339s synth issue2088: ok 339s synth issue2089: ok 339s synth issue2090: ok 339s synth issue2092: ok 339s synth issue2099: ok 339s synth issue2109: ok 339s synth issue2113: ok 339s synth issue2119: ok 339s synth issue2123: ok 339s synth issue2124: ok 339s synth issue2125: ok 339s synth issue2126: ok 339s synth comp01: ok 339s synth issue2129: ok 340s synth issue2139: ok 340s synth issue2140: ok 340s synth issue2142: ok 340s synth issue2143: ok 340s synth issue2144: ok 340s synth issue2145: ok 340s synth comp02: ok 340s synth comp03: ok 340s synth issue2146: ok 340s synth issue2149: ok 340s synth issue2159: ok 340s synth issue2169: ok 340s synth issue2176: ok 340s synth issue2177: ok 340s synth issue2187: ok 340s synth issue2204: ok 340s synth issue2205: ok 340s synth issue2214: ok 340s synth issue2222: ok 340s synth issue2224: ok 341s synth issue2231: ok 341s synth issue2232: ok 341s synth issue2234: ok 341s synth comp04: ok 341s synth concat01: ok 341s synth concat02: ok 342s synth const01: ok 342s synth conv01: ok 342s synth issue2237: ok 342s synth issue2240: ok 342s synth issue2266: ok 342s synth issue2270: ok 342s synth issue2273: ok 343s synth issue2279: ok 343s synth issue2285: ok 343s synth issue2286: ok 343s synth issue2327: ok 344s synth issue2328: ok 344s synth issue2330: ok 344s synth issue2334: ok 344s synth issue2339: ok 344s synth issue2347: ok 344s synth issue2361: ok 344s synth issue2369: ok 344s synth issue2372: ok 344s synth issue2390: ok 344s synth issue2399: ok 344s synth issue2408: ok 344s synth issue2418: ok 344s synth issue2428: ok 344s synth issue2436: ok 344s synth issue2438: ok 344s synth issue2444: ok 344s synth dff01: ok 345s synth issue2456: ok 345s synth issue2461: ok 345s synth issue2467: ok 345s synth issue2474: ok 345s synth issue2484: ok 345s synth issue2504: ok 345s synth issue2511: ok 345s synth issue2513: ok 345s synth issue2514: ok 345s synth issue2521: ok 345s synth issue2529: ok 345s synth issue2537: ok 345s synth issue2545: ok 345s synth issue2551: ok 345s synth issue2553: ok 345s synth issue2599: ok 345s synth issue2605: ok 345s synth issue2606: ok 345s synth issue2616: ok 345s synth issue2634: ok 346s synth issue412: ok 346s synth dff02: ok 347s synth dff03: ok 347s synth dff04: ok 347s synth issue662: ok 348s synth issue872: ok 348s synth issue882: ok 348s synth issue936: ok 348s synth dff05: ok 348s synth issue937: ok 348s synth issue938: ok 348s synth issue940: ok 348s synth issue941: ok 348s synth issue944: ok 348s synth issue945: ok 348s synth issue946: ok 348s synth issue947: ok 348s synth issue948: ok 348s synth issue951: ok 348s synth issue953: ok 348s synth issue954: ok 349s synth issue955: ok 349s synth issue956: ok 349s synth issue958: ok 349s synth issue960: ok 349s synth issue962: ok 349s synth dispin01: ok 349s synth issue963: ok 349s synth issue964: ok 350s synth issue965: ok 350s synth issue972: ok 350s synth issue973: ok 350s synth latch01: ok 350s synth lib01: ok 350s synth lit01: ok 351s synth match01: ok 351s synth dispout01: ok 351s synth dispvhdl01: ok 352s synth enum01: ok 352s synth exit01: ok 352s synth external01: ok 353s synth mem01: ok 353s synth forgen01: ok 354s synth fsm01: ok 355s synth fsm02: ok 355s synth fsm03: ok 355s synth mem02: ok 356s synth mem03: ok 357s synth func01: ok 357s synth memdp01: ok 357s synth func02: ok 357s synth func03: ok 357s synth gen01: ok 357s synth generate01: ok 359s synth iassoc01: ok 359s synth memmux01: ok 359s synth if01: ok 359s synth if02: ok 359s synth if03: ok 359s synth initial01: ok 360s synth mixed1: ok 360s synth module01: ok 360s synth module02: ok 360s synth null01: ok 360s synth insert01: ok 360s synth int01: ok 360s synth issue1002: ok 361s synth issue1004: ok 361s synth issue1005: ok 361s synth issue1006: ok 361s synth issue1007: ok 361s synth issue1008: ok 361s synth issue1009: ok 361s synth issue1011: ok 361s synth issue1014: ok 361s synth issue1018: ok 362s synth oper01: ok 362s synth issue1021: ok 362s synth issue1023: ok 362s synth issue1025: ok 362s synth issue1032: ok 362s synth issue1033: ok 362s synth issue1034: ok 362s synth issue1035: ok 362s synth issue1036: ok 362s synth issue1039: ok 362s synth issue1041: ok 362s synth issue1042: ok 362s synth issue1043: ok 362s synth issue1044: ok 362s synth issue1046: ok 362s synth issue1047: ok 362s synth issue1050: ok 363s synth issue1054: ok 363s synth issue1058: ok 363s synth issue1062: ok 363s synth issue1064: ok 363s synth issue1068: ok 364s synth oper02: ok 364s synth output01: ok 364s synth param01: ok 364s synth physical01: ok 364s synth pkg01: ok 365s synth pragma01: ok 365s synth issue1069: ok 365s synth issue1074: ok 365s synth issue1075: ok 365s synth psl01: ok 366s synth issue1076: ok 366s synth issue1077: ok 366s synth issue1078: ok 366s synth psl02: ok 366s synth issue1079: ok 366s synth range01: ok 366s synth rec01: ok 367s synth issue1080: ok 367s synth issue1081: ok 367s synth issue1082: ok 367s synth issue1083: ok 367s synth issue1086: ok 367s synth ret01: ok 367s synth simple01: ok 368s synth issue1090: ok 368s synth issue1095: ok 368s synth issue1096: ok 368s synth issue1099: ok 368s synth slice01: ok 369s synth slice02: ok 369s synth issue1100: ok 369s synth issue1101: ok 369s synth issue1107: ok 369s synth issue1109: ok 369s synth issue1113: ok 369s synth issue1114: ok 369s synth issue1116: ok 370s synth issue1117: ok 371s synth issue1122: ok 373s synth sns01: ok 378s synth snsuns01: ok 378s synth stmt01: ok 378s synth string01: ok 378s synth subprg01: ok 379s synth synth104: ok 379s synth synth108: ok 379s synth synth110: ok 379s synth synth111: ok 379s synth synth115: ok 380s synth synth12: ok 380s synth synth128: ok 380s synth synth129: ok 380s synth synth14: ok 381s synth synth154: ok 381s synth synth174: ok 381s synth synth179: ok 381s synth synth180: ok 381s synth synth183: ok 381s synth synth188: ok 381s synth synth26: ok 381s synth synth27: ok 382s synth synth33: ok 383s synth synth34: ok 383s synth synth36: ok 383s synth synth38: ok 383s synth synth39: ok 384s synth synth40: ok 384s synth synth44: ok 384s synth synth45: ok 384s synth synth47: ok 384s synth synth48: ok 384s synth synth50: ok 384s synth synth52: ok 384s synth synth56: ok 384s synth synth58: ok 384s synth synth60: ok 384s synth synth76: ok 385s synth synth8: ok 385s synth synth80: ok 385s synth synth87: ok 385s synth synth93: ok 385s synth transoff01: ok 386s synth uassoc01: ok 386s synth unary01: ok 388s synth var01: ok 388s synth var02: ok 497s synth issue1126: ok 498s synth issue1127: ok 498s synth issue1130: ok 498s synth issue1132: ok 498s synth issue1133: ok 498s synth issue1139: ok 498s synth issue1140: ok 498s synth issue1144: ok 498s synth issue1146: ok 498s synth issue1148: ok 498s synth issue1153: ok 499s synth issue1155: ok 499s synth issue1157: ok 499s synth issue1158: ok 499s synth issue1159: ok 499s synth issue1160: ok 500s synth issue1161: ok 500s synth issue1162: ok 500s synth issue1163: ok 500s synth issue1164: ok 500s synth issue1165: ok 501s synth issue1166: ok 501s synth issue1167: ok 501s synth issue1168: ok 501s synth issue1169: ok 501s synth issue1170: ok 501s synth issue1172: ok 501s synth issue1175: ok 501s synth issue1176: ok 501s synth issue1177: ok 501s synth issue1178: ok 501s synth issue1179: ok 501s synth issue1180: ok 501s synth issue1181: ok 501s synth issue1182: ok 501s synth issue1186: ok 501s synth issue1190: ok 501s synth issue1193: ok 501s synth issue1197: ok 501s synth issue1198: ok 501s synth issue1199: ok 501s synth issue1207: ok 501s synth issue1208: ok 502s synth issue1209: ok 502s synth issue1210: ok 502s synth issue1211: ok 502s synth issue1212: ok 502s synth issue1217: ok 502s synth issue1218: ok 502s synth issue1219: ok 503s synth issue1220: ok 503s synth issue1221: ok 503s synth issue1225: ok 503s synth issue1230: ok 503s synth issue1231: ok 503s synth issue1234: ok 503s synth issue1236: ok 503s synth issue1237: ok 503s synth issue1238: ok 503s synth issue1239: ok 504s synth issue1240: ok 504s synth issue1241: ok 504s synth issue1242: ok 504s synth issue1243: ok 504s synth issue1250: ok 504s synth issue1251: ok 504s synth issue1253: ok 504s synth issue1254: ok 504s synth issue1258: ok 505s synth issue1264: ok 505s synth issue1265: ok 505s synth issue1266: ok 505s synth issue1267: ok 505s synth issue1271: ok 505s synth issue1272: ok 506s synth issue1273: ok 506s synth issue1277: ok 506s synth issue1280: ok 506s synth issue1282: ok 506s synth issue1283: ok 506s synth issue1288: ok 506s synth issue1292: ok 506s synth issue1294: ok 507s synth issue1295: ok 507s synth issue1298: ok 507s synth issue1302: ok 507s synth issue1307: ok 507s synth issue1310: ok 507s synth issue1311: ok 507s synth issue1313: ok 507s synth issue1314: ok 507s synth issue1316: ok 507s synth issue1317: ok 507s synth issue1318: ok 508s synth issue1319: ok 508s synth issue1321: ok 508s synth issue1322: ok 508s synth issue1324: ok 508s synth issue1325: ok 509s synth issue1330: ok 509s synth issue1332: ok 509s synth issue1333: ok 509s synth issue1342: ok 509s synth issue1345: ok 509s synth issue1348: ok 509s synth issue1366: ok 510s synth issue1367: ok 510s synth issue1372: ok 510s synth issue1387: ok 510s synth issue1390: ok 510s synth issue1406: ok 510s synth issue1407: ok 511s synth issue1408: ok 511s synth issue1413: ok 511s synth issue1414: ok 511s synth issue1417: ok 511s synth issue1421: ok 511s synth issue1424: ok 511s synth issue1426: ok 511s synth issue1428: ok 511s synth issue1430: ok 511s synth issue1442: ok 511s synth issue1454: ok 515s synth issue1460: ok 515s synth issue1475: ok 515s synth issue1481: ok 515s synth issue1503: ok 515s synth issue1509: ok 516s synth issue1520: ok 516s synth issue1531: ok 516s synth issue1534: ok 516s synth issue1536: ok 516s synth issue1537: ok 516s synth issue1540: ok 517s synth issue1563: ok 517s synth issue1572: ok 517s synth issue1591: ok 517s synth issue1596: ok 517s synth issue1609: ok 517s synth issue1628: ok 517s synth issue1645: ok 517s synth issue1650: ok 518s synth issue1654: ok 518s synth issue1658: ok 518s synth tests are successful 518s [GHDL - test] vpi 518s ..Running with 2 test workers. 518s vpi vpi004: ok 518s vpi vpi001: ok 518s vpi vpi005: ok 518s vpi vpi002: ok 518s vpi vpi003: ok 518s vpi tests are successful 518s [GHDL - test] vhpi 518s ..Running with 2 test workers. 518s vhpi 001load_lib: ok 518s vhpi 004trace_stdout: ok 518s vhpi 002load_entrypoint: ok 518s vhpi 005trace_file: ok 518s vhpi 003load_multi_lib: ok 518s vhpi tests are successful 518s [GHDL - test] SUCCESSFUL 519s autopkgtest [18:55:21]: test command1: -----------------------] 519s autopkgtest [18:55:21]: test command1: - - - - - - - - - - results - - - - - - - - - - 519s command1 PASS 520s autopkgtest [18:55:22]: test command2: preparing testbed 588s autopkgtest [18:56:30]: testbed dpkg architecture: s390x 588s autopkgtest [18:56:30]: testbed apt version: 2.7.14build2 588s autopkgtest [18:56:30]: @@@@@@@@@@@@@@@@@@@@ test bed setup 589s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [265 kB] 589s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [102 kB] 589s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [4708 B] 589s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [2292 B] 589s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [48.2 kB] 589s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main s390x Packages [95.6 kB] 589s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main s390x c-n-f Metadata [3252 B] 589s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x Packages [1176 B] 589s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x c-n-f Metadata [116 B] 589s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x Packages [596 kB] 589s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x c-n-f Metadata [5732 B] 589s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x Packages [772 B] 589s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x c-n-f Metadata [172 B] 591s Fetched 1125 kB in 1s (1560 kB/s) 591s Reading package lists... 593s Reading package lists... 594s Building dependency tree... 594s Reading state information... 594s Calculating upgrade... 594s The following packages will be upgraded: 594s libclang-cpp18 libclang1-18 libllvm18 594s 3 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 594s Need to get 58.4 MB of archives. 594s After this operation, 3933 kB disk space will be freed. 594s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libclang-cpp18 s390x 1:18.1.8-9ubuntu1~24.04 [15.9 MB] 595s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libllvm18 s390x 1:18.1.8-9ubuntu1~24.04 [33.2 MB] 596s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libclang1-18 s390x 1:18.1.8-9ubuntu1~24.04 [9298 kB] 596s Fetched 58.4 MB in 2s (29.2 MB/s) 596s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 78297 files and directories currently installed.) 596s Preparing to unpack .../libclang-cpp18_1%3a18.1.8-9ubuntu1~24.04_s390x.deb ... 596s Unpacking libclang-cpp18 (1:18.1.8-9ubuntu1~24.04) over (1:18.1.3-1ubuntu1) ... 596s Preparing to unpack .../libllvm18_1%3a18.1.8-9ubuntu1~24.04_s390x.deb ... 596s Unpacking libllvm18:s390x (1:18.1.8-9ubuntu1~24.04) over (1:18.1.3-1ubuntu1) ... 597s Preparing to unpack .../libclang1-18_1%3a18.1.8-9ubuntu1~24.04_s390x.deb ... 597s Unpacking libclang1-18 (1:18.1.8-9ubuntu1~24.04) over (1:18.1.3-1ubuntu1) ... 597s Setting up libllvm18:s390x (1:18.1.8-9ubuntu1~24.04) ... 597s Setting up libclang1-18 (1:18.1.8-9ubuntu1~24.04) ... 597s Setting up libclang-cpp18 (1:18.1.8-9ubuntu1~24.04) ... 597s Processing triggers for libc-bin (2.39-0ubuntu8.3) ... 597s Reading package lists... 598s Building dependency tree... 598s Reading state information... 598s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 598s Hit:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease 598s Hit:2 http://ftpmaster.internal/ubuntu noble InRelease 598s Hit:3 http://ftpmaster.internal/ubuntu noble-updates InRelease 598s Hit:4 http://ftpmaster.internal/ubuntu noble-security InRelease 599s Reading package lists... 599s Reading package lists... 599s Building dependency tree... 599s Reading state information... 599s Calculating upgrade... 599s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 599s Reading package lists... 599s Building dependency tree... 599s Reading state information... 600s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 603s Reading package lists... 603s Building dependency tree... 603s Reading state information... 604s Starting pkgProblemResolver with broken count: 0 604s Starting 2 pkgProblemResolver with broken count: 0 604s Done 604s The following additional packages will be installed: 604s cpp cpp-13 cpp-13-s390x-linux-gnu cpp-s390x-linux-gnu gcc gcc-13 gcc-13-base 604s gcc-13-s390x-linux-gnu gcc-s390x-linux-gnu ghdl-common ghdl-llvm ghdl-tools 604s libasan8 libatomic1 libcc1-0 libgcc-13-dev libgnat-13 libgomp1 libisl23 604s libitm1 libmpc3 libubsan1 zlib1g-dev 604s Suggested packages: 604s cpp-doc gcc-13-locales cpp-13-doc gcc-multilib manpages-dev autoconf 604s automake libtool flex bison gdb gcc-doc gcc-13-multilib gcc-13-doc 604s gdb-s390x-linux-gnu 604s The following NEW packages will be installed: 604s autopkgtest-satdep cpp cpp-13 cpp-13-s390x-linux-gnu cpp-s390x-linux-gnu gcc 604s gcc-13 gcc-13-base gcc-13-s390x-linux-gnu gcc-s390x-linux-gnu ghdl-common 604s ghdl-llvm ghdl-tools libasan8 libatomic1 libcc1-0 libgcc-13-dev libgnat-13 604s libgomp1 libisl23 libitm1 libmpc3 libubsan1 zlib1g-dev 604s 0 upgraded, 24 newly installed, 0 to remove and 0 not upgraded. 604s Need to get 42.0 MB/42.0 MB of archives. 604s After this operation, 138 MB of additional disk space will be used. 604s Get:1 /tmp/autopkgtest.57NWkY/2-autopkgtest-satdep.deb autopkgtest-satdep s390x 0 [712 B] 604s Get:2 http://ftpmaster.internal/ubuntu noble/main s390x gcc-13-base s390x 13.2.0-23ubuntu4 [48.9 kB] 604s Get:3 http://ftpmaster.internal/ubuntu noble/main s390x libisl23 s390x 0.26-3build1 [713 kB] 604s Get:4 http://ftpmaster.internal/ubuntu noble/main s390x libmpc3 s390x 1.3.1-1build1 [58.4 kB] 604s Get:5 http://ftpmaster.internal/ubuntu noble/main s390x cpp-13-s390x-linux-gnu s390x 13.2.0-23ubuntu4 [9939 kB] 605s Get:6 http://ftpmaster.internal/ubuntu noble/main s390x cpp-13 s390x 13.2.0-23ubuntu4 [1030 B] 605s Get:7 http://ftpmaster.internal/ubuntu noble/main s390x cpp-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [5308 B] 605s Get:8 http://ftpmaster.internal/ubuntu noble/main s390x cpp s390x 4:13.2.0-7ubuntu1 [22.4 kB] 605s Get:9 http://ftpmaster.internal/ubuntu noble/main s390x libcc1-0 s390x 14-20240412-0ubuntu1 [49.9 kB] 605s Get:10 http://ftpmaster.internal/ubuntu noble/main s390x libgomp1 s390x 14-20240412-0ubuntu1 [151 kB] 605s Get:11 http://ftpmaster.internal/ubuntu noble/main s390x libitm1 s390x 14-20240412-0ubuntu1 [31.1 kB] 605s Get:12 http://ftpmaster.internal/ubuntu noble/main s390x libatomic1 s390x 14-20240412-0ubuntu1 [9398 B] 605s Get:13 http://ftpmaster.internal/ubuntu noble/main s390x libasan8 s390x 14-20240412-0ubuntu1 [3003 kB] 605s Get:14 http://ftpmaster.internal/ubuntu noble/main s390x libubsan1 s390x 14-20240412-0ubuntu1 [1188 kB] 605s Get:15 http://ftpmaster.internal/ubuntu noble/main s390x libgcc-13-dev s390x 13.2.0-23ubuntu4 [1004 kB] 605s Get:16 http://ftpmaster.internal/ubuntu noble/main s390x gcc-13-s390x-linux-gnu s390x 13.2.0-23ubuntu4 [19.1 MB] 605s Get:17 http://ftpmaster.internal/ubuntu noble/main s390x gcc-13 s390x 13.2.0-23ubuntu4 [472 kB] 605s Get:18 http://ftpmaster.internal/ubuntu noble/main s390x gcc-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [1208 B] 605s Get:19 http://ftpmaster.internal/ubuntu noble/main s390x gcc s390x 4:13.2.0-7ubuntu1 [5014 B] 605s Get:20 http://ftpmaster.internal/ubuntu noble/universe s390x ghdl-common s390x 4.1.0+dfsg-0ubuntu2 [167 kB] 605s Get:21 http://ftpmaster.internal/ubuntu noble/universe s390x libgnat-13 s390x 13.2.0-23ubuntu4 [1499 kB] 605s Get:22 http://ftpmaster.internal/ubuntu noble-updates/main s390x zlib1g-dev s390x 1:1.3.dfsg-3.1ubuntu2.1 [904 kB] 605s Get:23 http://ftpmaster.internal/ubuntu noble/universe s390x ghdl-llvm s390x 4.1.0+dfsg-0ubuntu2 [3581 kB] 605s Get:24 http://ftpmaster.internal/ubuntu noble/universe s390x ghdl-tools s390x 4.1.0+dfsg-0ubuntu2 [31.2 kB] 606s Fetched 42.0 MB in 2s (25.6 MB/s) 606s Selecting previously unselected package gcc-13-base:s390x. 606s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 78297 files and directories currently installed.) 606s Preparing to unpack .../00-gcc-13-base_13.2.0-23ubuntu4_s390x.deb ... 606s Unpacking gcc-13-base:s390x (13.2.0-23ubuntu4) ... 606s Selecting previously unselected package libisl23:s390x. 606s Preparing to unpack .../01-libisl23_0.26-3build1_s390x.deb ... 606s Unpacking libisl23:s390x (0.26-3build1) ... 606s Selecting previously unselected package libmpc3:s390x. 606s Preparing to unpack .../02-libmpc3_1.3.1-1build1_s390x.deb ... 606s Unpacking libmpc3:s390x (1.3.1-1build1) ... 606s Selecting previously unselected package cpp-13-s390x-linux-gnu. 606s Preparing to unpack .../03-cpp-13-s390x-linux-gnu_13.2.0-23ubuntu4_s390x.deb ... 606s Unpacking cpp-13-s390x-linux-gnu (13.2.0-23ubuntu4) ... 606s Selecting previously unselected package cpp-13. 606s Preparing to unpack .../04-cpp-13_13.2.0-23ubuntu4_s390x.deb ... 606s Unpacking cpp-13 (13.2.0-23ubuntu4) ... 606s Selecting previously unselected package cpp-s390x-linux-gnu. 606s Preparing to unpack .../05-cpp-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... 606s Unpacking cpp-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 606s Selecting previously unselected package cpp. 606s Preparing to unpack .../06-cpp_4%3a13.2.0-7ubuntu1_s390x.deb ... 606s Unpacking cpp (4:13.2.0-7ubuntu1) ... 606s Selecting previously unselected package libcc1-0:s390x. 606s Preparing to unpack .../07-libcc1-0_14-20240412-0ubuntu1_s390x.deb ... 606s Unpacking libcc1-0:s390x (14-20240412-0ubuntu1) ... 606s Selecting previously unselected package libgomp1:s390x. 606s Preparing to unpack .../08-libgomp1_14-20240412-0ubuntu1_s390x.deb ... 606s Unpacking libgomp1:s390x (14-20240412-0ubuntu1) ... 606s Selecting previously unselected package libitm1:s390x. 606s Preparing to unpack .../09-libitm1_14-20240412-0ubuntu1_s390x.deb ... 606s Unpacking libitm1:s390x (14-20240412-0ubuntu1) ... 606s Selecting previously unselected package libatomic1:s390x. 606s Preparing to unpack .../10-libatomic1_14-20240412-0ubuntu1_s390x.deb ... 606s Unpacking libatomic1:s390x (14-20240412-0ubuntu1) ... 606s Selecting previously unselected package libasan8:s390x. 606s Preparing to unpack .../11-libasan8_14-20240412-0ubuntu1_s390x.deb ... 606s Unpacking libasan8:s390x (14-20240412-0ubuntu1) ... 606s Selecting previously unselected package libubsan1:s390x. 606s Preparing to unpack .../12-libubsan1_14-20240412-0ubuntu1_s390x.deb ... 606s Unpacking libubsan1:s390x (14-20240412-0ubuntu1) ... 606s Selecting previously unselected package libgcc-13-dev:s390x. 606s Preparing to unpack .../13-libgcc-13-dev_13.2.0-23ubuntu4_s390x.deb ... 606s Unpacking libgcc-13-dev:s390x (13.2.0-23ubuntu4) ... 606s Selecting previously unselected package gcc-13-s390x-linux-gnu. 606s Preparing to unpack .../14-gcc-13-s390x-linux-gnu_13.2.0-23ubuntu4_s390x.deb ... 606s Unpacking gcc-13-s390x-linux-gnu (13.2.0-23ubuntu4) ... 606s Selecting previously unselected package gcc-13. 606s Preparing to unpack .../15-gcc-13_13.2.0-23ubuntu4_s390x.deb ... 606s Unpacking gcc-13 (13.2.0-23ubuntu4) ... 606s Selecting previously unselected package gcc-s390x-linux-gnu. 606s Preparing to unpack .../16-gcc-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... 606s Unpacking gcc-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 606s Selecting previously unselected package gcc. 606s Preparing to unpack .../17-gcc_4%3a13.2.0-7ubuntu1_s390x.deb ... 606s Unpacking gcc (4:13.2.0-7ubuntu1) ... 606s Selecting previously unselected package ghdl-common. 606s Preparing to unpack .../18-ghdl-common_4.1.0+dfsg-0ubuntu2_s390x.deb ... 606s Unpacking ghdl-common (4.1.0+dfsg-0ubuntu2) ... 606s Selecting previously unselected package libgnat-13:s390x. 606s Preparing to unpack .../19-libgnat-13_13.2.0-23ubuntu4_s390x.deb ... 606s Unpacking libgnat-13:s390x (13.2.0-23ubuntu4) ... 606s Selecting previously unselected package zlib1g-dev:s390x. 606s Preparing to unpack .../20-zlib1g-dev_1%3a1.3.dfsg-3.1ubuntu2.1_s390x.deb ... 606s Unpacking zlib1g-dev:s390x (1:1.3.dfsg-3.1ubuntu2.1) ... 606s Selecting previously unselected package ghdl-llvm. 606s Preparing to unpack .../21-ghdl-llvm_4.1.0+dfsg-0ubuntu2_s390x.deb ... 606s Unpacking ghdl-llvm (4.1.0+dfsg-0ubuntu2) ... 606s Selecting previously unselected package ghdl-tools. 606s Preparing to unpack .../22-ghdl-tools_4.1.0+dfsg-0ubuntu2_s390x.deb ... 606s Unpacking ghdl-tools (4.1.0+dfsg-0ubuntu2) ... 606s Selecting previously unselected package autopkgtest-satdep. 606s Preparing to unpack .../23-2-autopkgtest-satdep.deb ... 606s Unpacking autopkgtest-satdep (0) ... 607s Setting up ghdl-common (4.1.0+dfsg-0ubuntu2) ... 607s Setting up ghdl-tools (4.1.0+dfsg-0ubuntu2) ... 607s Setting up libgomp1:s390x (14-20240412-0ubuntu1) ... 607s Setting up gcc-13-base:s390x (13.2.0-23ubuntu4) ... 607s Setting up libmpc3:s390x (1.3.1-1build1) ... 607s Setting up libatomic1:s390x (14-20240412-0ubuntu1) ... 607s Setting up libgnat-13:s390x (13.2.0-23ubuntu4) ... 607s Setting up libubsan1:s390x (14-20240412-0ubuntu1) ... 607s Setting up zlib1g-dev:s390x (1:1.3.dfsg-3.1ubuntu2.1) ... 607s Setting up libasan8:s390x (14-20240412-0ubuntu1) ... 607s Setting up libisl23:s390x (0.26-3build1) ... 607s Setting up libcc1-0:s390x (14-20240412-0ubuntu1) ... 607s Setting up libitm1:s390x (14-20240412-0ubuntu1) ... 607s Setting up cpp-13-s390x-linux-gnu (13.2.0-23ubuntu4) ... 607s Setting up libgcc-13-dev:s390x (13.2.0-23ubuntu4) ... 607s Setting up cpp-13 (13.2.0-23ubuntu4) ... 607s Setting up cpp-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 607s Setting up gcc-13-s390x-linux-gnu (13.2.0-23ubuntu4) ... 607s Setting up gcc-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... 607s Setting up gcc-13 (13.2.0-23ubuntu4) ... 607s Setting up cpp (4:13.2.0-7ubuntu1) ... 607s Setting up gcc (4:13.2.0-7ubuntu1) ... 607s Setting up ghdl-llvm (4.1.0+dfsg-0ubuntu2) ... 607s Setting up autopkgtest-satdep (0) ... 607s Processing triggers for man-db (2.12.0-4build2) ... 607s Processing triggers for libc-bin (2.39-0ubuntu8.3) ... 609s (Reading database ... 78746 files and directories currently installed.) 609s Removing autopkgtest-satdep (0) ... 656s autopkgtest [18:57:38]: test command2: debian/tests/ghdl-tests autopkgtest llvm 656s autopkgtest [18:57:38]: test command2: [----------------------- 656s > tests: sanity gna vests synth vpi vhpi 656s > args: --keep-going 656s GHDL is: /usr/bin/ghdl-llvm 656s GHDL 4.1.0 (Ubuntu 4.1.0+dfsg-0ubuntu2) [Dunoon edition] 656s Compiled with GNAT Version: 13.2.0 656s llvm 18.1.3 code generator 656s Written by Tristan Gingold. 656s 656s Copyright (C) 2003 - 2024 Tristan Gingold. 656s GHDL is free software, covered by the GNU General Public License. There is NO 656s warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. 656s REF: unknown 656s HASH: unknown 656s GHDL help 656s usage: /usr/bin/ghdl-llvm COMMAND [OPTIONS] ... 656s COMMAND is one of: 656s analyze [OPTS] FILEs 656s Analyze one or multiple VHDL files 656s aliases: -a, analyse 656s elaborate [OPTS] UNIT [ARCH] 656s Elaborate design UNIT 656s alias: -e 656s run UNIT [ARCH] [RUNOPTS] 656s Run design UNIT 656s alias: -r 656s elab-run [OPTS] UNIT [ARCH] [RUNOPTS] 656s Elaborate and run design UNIT 656s alias: --elab-run 656s bind [OPTS] UNIT [ARCH] 656s Bind design UNIT 656s alias: --bind 656s link [OPTS] UNIT [ARCH] 656s Link design UNIT 656s alias: --link 656s list-link [OPTS] UNIT [ARCH] 656s List objects file to link UNIT 656s alias: --list-link 656s compile [OPTS] FILEs -e UNIT [ARCH] 656s Generate whole sequence to elaborate design UNIT from FILEs 656s alias: -c 656s make [OPTS] UNIT [ARCH] 656s Make design UNIT 656s alias: -m 656s gen-makefile [OPTS] UNIT [ARCH] 656s Generate a Makefile for design UNIT 656s alias: --gen-makefile 656s gen-depends [OPTS] UNIT [ARCH] 656s Generate dependencies of design UNIT 656s alias: --gen-depends 656s disp-config 656s Display tools path 656s aliases: --disp-config, dispconfig, --dispconfig 656s bootstrap-std 656s (internal) Compile std.standard 656s alias: --bootstrap-standard 656s synth [FILES... -e] UNIT [ARCH] 656s Synthesis from UNIT 656s alias: --synth 656s import [OPTS] FILEs 656s Import units of FILEs 656s alias: -i 656s syntax [OPTS] FILEs 656s Check syntax of FILEs 656s alias: -s 656s dir [LIBs] 656s Display contents of the libraries 656s alias: --dir 656s files FILEs 656s Display units in FILES 656s alias: -f 656s clean 656s Remove generated files 656s alias: --clean 656s remove 656s Remove generated files and library file 656s alias: --remove 656s disp-standard 656s Disp std.standard in pseudo-vhdl 656s alias: --disp-standard 656s elab-order [--libraries] [OPTS] UNIT [ARCH] 656s Display ordered source files 656s alias: --elab-order 656s find-top 656s Display possible top entity in work library 656s alias: --find-top 656s chop [OPTS] FILEs 656s Chop FILEs 656s alias: --chop 656s lines FILEs 656s Precede line with its number 656s alias: --lines 656s reprint [OPTS] FILEs 656s Redisplay FILEs 656s alias: --reprint 656s fmt [OPTS] FILEs 656s Format FILEs 656s alias: --format 656s compare-tokens [OPTS] REF FILEs 656s Compare FILEs with REF 656s alias: --compare-tokens 656s pp-html FILEs 656s Pretty-print FILEs in HTML 656s alias: --pp-html 656s xref-html FILEs 656s Display FILEs in HTML with xrefs 656s alias: --xref-html 656s xref FILEs 656s Generate xrefs 656s alias: --xref 656s --vpi-compile CMD ARGS 656s Compile with VPI/VHPI include path 656s --vpi-link CMD ARGS 656s Link with VPI/VHPI library 656s --vpi-cflags 656s Display VPI/VHPI compile flags 656s --vpi-ldflags 656s Display VPI/VHPI link flags 656s --vpi-include-dir 656s Display VPI/VHPI include directory 656s --vpi-library-dir 656s Display VPI/VHPI library directory 656s --vpi-library-dir-unix 656s Display VPI/VHPI library directory (unix form) 656s file-to-xml FILEs 656s Dump AST in XML 656s alias: --file-to-xml 656s --libghdl-name 656s Display libghdl name 656s --libghdl-library-path 656s Display libghdl library path 656s --libghdl-include-dir 656s Display libghdl include directory 656s help [CMD] 656s Display this help or [help on CMD] 656s aliases: -h, --help 656s version 656s Display ghdl version 656s aliases: -v, --version 656s help-options 656s Display help for analyzer options 656s alias: --help-options, opts-help, --options-help 656s help-warnings 656s Display help about all the warnings 656s alias: --help-warnings 656s 656s To display the options of a GHDL program, 656s run your program with the 'help' option. 656s Also see 'opts-help' for analyzer options. 656s 656s Please, refer to the GHDL manual for more information. 656s Report issues on https://github.com/ghdl/ghdl 656s [GHDL - test] sanity 656s ..Running with 2 test workers. 656s sanity 005examples: ok 656s sanity 006upf: ok 656s sanity 008coverage: ok 656s sanity 000hello: ok 656s sanity 001hello87: ok 656s sanity 002hello2008: ok 657s sanity 004all08: ok 657s sanity tests are successful 657s [GHDL - test] gna 657s ..Running with 2 test workers. 657s gna issue2239: ok 657s gna bug01: failed 657s gna issue2244: failed 657s gna issue225: failed 657s gna issue2250: failed 657s gna bug010: failed 657s gna issue2264: failed 657s gna issue2267: ok 657s gna issue2269: failed 657s gna issue227: failed 657s gna issue2271: ok 657s gna issue2276: failed 657s gna bug0100: ok 657s gna issue2277: ok 657s gna bug0101: ok 657s gna bug0103: failed 657s gna issue228: failed 657s gna bug0104: ok 657s gna bug0105: failed 657s gna issue2288: failed 657s gna bug0106: ok 657s gna bug0108: ok 658s gna bug0109: failed 658s gna issue2299: failed 658s gna issue2303: ok 658s gna issue2306: ok 658s gna issue2307: ok 658s gna bug011: failed 658s gna bug0110: ok 658s gna bug0111: ok 658s gna bug0112: ok 658s gna bug0114: ok 658s gna bug0115: failed 658s gna issue2323: ok 658s gna bug0117: failed 658s gna bug0118: ok 658s gna issue2326: failed 658s gna bug012: failed 658s gna bug0120: ok 658s gna bug0122: ok 658s gna issue233: failed 658s gna bug0123: failed 658s gna bug0124: ok 658s gna issue2333: ok 658s gna bug0125: failed 658s gna bug0126: ok 658s gna bug0128: failed 658s gna issue2336: failed 658s gna bug0129: failed 658s gna issue2337: failed 658s gna bug0130: ok 658s gna issue2344: failed 658s gna bug0131: failed 658s gna bug0133: ok 658s gna issue2346: failed 658s gna issue2349: ok 658s gna bug0134: failed 658s gna bug0135: ok 659s gna issue235: ok 659s gna bug0136: failed 659s gna bug0137: ok 659s gna bug0138: ok 659s gna bug0139: ok 659s gna bug014: ok 659s gna issue2350: ok 659s gna bug015: failed 659s gna issue2353: failed 659s gna bug016: ok 659s gna bug017: failed 659s gna issue2356: failed 659s gna bug018: failed 659s gna issue2357: failed 659s gna issue2365: ok 659s gna issue2368: ok 659s gna issue237: failed 659s gna issue238: failed 659s gna issue2381: failed 659s gna issue2382: ok 659s gna issue2383: ok 659s gna issue2388: failed 659s gna issue2393: failed 660s gna issue2395: failed 660s gna issue2396: failed 660s gna issue24: ok 660s gna issue2402: ok 660s gna issue2407: failed 660s gna issue241: ok 660s gna issue2410: failed 660s gna issue2417: failed 660s gna issue242: failed 660s gna issue2421: failed 661s gna bug019: failed 661s gna issue2422: failed 661s gna bug02: failed 661s gna issue2424: failed 661s gna bug021: ok 661s gna issue2425: ok 661s gna bug022: failed 661s gna bug023: failed 661s gna bug024: ok 661s gna bug026: ok 661s gna bug027: ok 661s gna bug028: ok 661s gna issue2427: ok 661s gna bug029: ok 661s gna issue2429: failed 661s gna issue243: ok 661s gna bug03: failed 661s gna issue2430: failed 661s gna bug030: ok 661s gna bug031: ok 661s gna bug032: ok 661s gna issue2432: failed 661s gna bug033: ok 661s gna issue2433: ok 661s gna bug034: ok 661s gna issue2435: failed 661s gna issue2437: failed 662s gna issue244: failed 662s gna issue2440: failed 662s gna issue2441: failed 662s gna issue2445: failed 662s gna issue2447: ok 662s gna issue2448: failed 662s gna issue2452: failed 662s gna issue2454: failed 662s gna issue2455: ok 662s gna issue2458: ok 662s gna issue2459: failed 662s gna issue2460: ok 662s gna issue2462: ok 662s gna issue2463: ok 662s gna issue2465: ok 662s gna issue2466: ok 663s gna issue2470: failed 663s gna issue2471: failed 663s gna issue2473: failed 663s gna issue2476: failed 663s gna issue2477: failed 663s gna issue2480: failed 663s gna bug035: failed 663s gna bug036: ok 663s gna issue2481: failed 663s gna issue2486: failed 663s gna issue2488: ok 663s gna issue2489: failed 663s gna issue2494: ok 663s gna issue2497: failed 663s gna issue2498: failed 664s gna issue25: ok 664s gna issue2500: ok 664s gna issue2502: failed 664s gna issue2505: ok 664s gna issue2506: ok 664s gna issue2507: ok 664s gna issue2508: ok 664s gna issue2509: failed 664s gna issue2510: ok 664s gna issue2516: failed 664s gna issue2519: failed 664s gna issue2525: ok 664s gna issue2526: ok 664s gna issue2528: ok 664s gna issue253: failed 664s gna issue2531: ok 664s gna issue2533: ok 664s gna issue2536: failed 664s gna issue2538: ok 664s gna issue2539: ok 664s gna issue254: failed 664s gna issue2540: failed 664s gna issue2541: ok 664s gna issue2542: ok 664s gna issue2544: ok 664s gna issue2546: ok 664s gna issue2547: ok 665s gna issue2549: failed 665s gna issue2550: failed 665s gna issue2552: ok 665s gna issue2554: ok 665s gna issue2556: failed 665s gna issue2558: ok 665s gna issue256: failed 665s gna issue2561: ok 665s gna issue2562: failed 665s gna issue2564: failed 665s gna issue2566: ok 665s gna issue2567: ok 665s gna issue2569: failed 665s gna bug037: failed 665s gna issue257: failed 665s gna bug039: ok 665s gna issue2570: failed 665s gna bug04: failed 665s gna issue2571: failed 666s gna issue2572: ok 666s gna issue2573: ok 666s gna issue2575: ok 666s gna issue2579: failed 666s gna issue258: ok 666s gna issue2580: failed 666s gna issue2581: failed 666s gna issue2584: ok 666s gna issue2586: ok 666s gna issue2587: ok 666s gna issue259: failed 666s gna issue2590: failed 666s gna issue2592: ok 666s gna issue2593: ok 666s gna issue2594: failed 666s gna issue2597: ok 666s gna issue2598: ok 667s gna issue26: failed 667s gna issue2607: ok 667s gna issue261: ok 667s gna issue2611: ok 667s gna issue2613: ok 667s gna issue2614: ok 667s gna issue2618: ok 667s gna issue262: failed 667s gna issue2620: failed 667s gna issue2621: ok 667s gna issue2624: ok 668s gna issue2626: failed 668s gna issue263: ok 668s gna issue2630: ok 668s gna issue2631: failed 668s gna issue264: ok 668s gna issue2645: failed 668s gna issue265: ok 668s gna issue268: ok 668s gna issue273: ok 668s gna issue278: ok 668s gna issue283: ok 668s gna issue290: failed 669s gna issue293: failed 669s gna issue295: ok 675s gna issue30: failed 675s gna issue300: failed 675s gna issue301: failed 675s gna issue309: ok 675s gna issue310: failed 675s gna issue312: ok 675s gna issue314: ok 675s gna issue316: ok 675s gna issue317: failed 675s gna issue320: failed 676s gna issue321: failed 676s gna issue326: ok 676s gna issue328: failed 676s gna issue332: failed 676s gna issue333: ok 676s gna issue339: failed 676s gna issue349: ok 676s gna issue351: ok 676s gna issue356: ok 676s gna issue361: ok 676s gna issue367: ok 676s gna issue368: ok 676s gna issue369: failed 676s gna issue370: ok 676s gna issue371: failed 677s gna bug040: failed 677s gna bug041: ok 677s gna issue372: ok 677s gna issue373: ok 677s gna issue374: ok 677s gna bug042: failed 677s gna issue375: failed 677s gna issue376: failed 677s gna issue379: ok 677s gna issue38: ok 677s gna issue381: ok 677s gna issue382: failed 677s gna bug043: ok 677s gna issue384: ok 677s gna issue387: ok 677s gna bug044: ok 677s gna issue388: ok 677s gna issue389: ok 677s gna issue392: ok 677s gna bug045: failed 677s gna bug046: ok 677s gna bug047: ok 677s gna issue394: failed 677s gna issue395: ok 677s gna issue397: failed 677s gna bug048: failed 677s gna issue40: ok 677s gna issue400: failed 677s gna bug049: failed 677s gna bug05: failed 677s gna issue406: failed 677s gna bug050: ok 677s gna bug051: ok 677s gna issue407: ok 677s gna issue413: ok 678s gna issue418: failed 678s gna issue419: ok 678s gna issue42: ok 678s gna bug052: ok 678s gna issue424: failed 678s gna bug053: ok 678s gna issue43: failed 678s gna bug054: ok 678s gna issue439: ok 678s gna bug055: ok 678s gna issue44: failed 678s gna bug056: ok 678s gna issue440: ok 678s gna issue441: ok 678s gna issue447: ok 678s gna issue449: ok 678s gna bug057: ok 678s gna bug058: ok 678s gna bug059: ok 678s gna bug06: failed 678s gna issue45: failed 678s gna issue450: failed 678s gna bug060: failed 679s gna issue451: failed 679s gna issue456: ok 679s gna issue458: ok 679s gna bug061: failed 679s gna bug062: ok 679s gna bug063: ok 679s gna bug064: ok 679s gna bug065: failed 679s gna issue459: ok 679s gna bug066: ok 679s gna bug067: ok 679s gna bug069: ok 679s gna issue461: failed 679s gna bug07: failed 679s gna issue465: failed 679s gna bug071: ok 679s gna bug072: ok 679s gna issue467: failed 679s gna issue470: ok 679s gna bug073: failed 679s gna issue471: ok 679s gna issue472: ok 679s gna bug074: failed 679s gna bug075: ok 679s gna issue473: failed 679s gna issue474: ok 679s gna bug077: failed 679s gna bug078: failed 679s gna bug079: failed 680s gna issue476: failed 680s gna issue478: ok 680s gna bug08: failed 680s gna bug080: ok 680s gna bug081: ok 680s gna bug082: ok 680s gna bug083: failed 680s gna bug084: failed 680s gna bug085: ok 680s gna bug086: failed 680s gna bug087: ok 680s gna bug088: ok 680s gna bug09: failed 680s gna bug090: ok 680s gna bug092: ok 681s gna bug093: ok 681s gna bug094: failed 681s gna bug096: ok 681s gna bug097: failed 681s gna bug098: ok 681s gna bug099: ok 681s gna bug14953: ok 681s gna bug15638: failed 681s gna bug15702: ok 681s gna bug15933: ok 681s gna bug15966: failed 681s gna bug15993: failed 681s gna bug16095: ok 681s gna bug16096: ok 681s gna bug16144: ok 681s gna bug16287: failed 681s gna bug16695: failed 682s gna bug16782: ok 682s gna bug17127: failed 682s gna bug17202: ok 682s gna bug17203: failed 682s gna bug17309: failed 682s gna bug17545: failed 682s gna bug17759: failed 682s gna bug18280: failed 683s gna bug18351: failed 683s gna bug18353: ok 683s gna bug18359: failed 683s gna bug18360: ok 683s gna bug18361: ok 683s gna bug18659: ok 683s gna issue50: failed 683s gna issue516: ok 683s gna issue520: ok 683s gna issue521: ok 683s gna issue522: ok 683s gna bug18810: failed 683s gna issue524: ok 683s gna issue525: ok 683s gna bug19195: ok 683s gna issue529: failed 683s gna bug20255: ok 683s gna bug20312: failed 683s gna issue530: failed 684s gna bug20549: failed 684s gna bug20597: ok 684s gna issue531: ok 684s gna bug20703: ok 684s gna issue535: ok 684s gna bug20767: ok 684s gna issue542: ok 684s gna bug20771: ok 684s gna bug21052: ok 684s gna bug21078: ok 684s gna bug21274: ok 684s gna issue543: failed 684s gna issue544: ok 684s gna bug21332: ok 684s gna issue546: ok 684s gna bug21487: failed 684s gna issue547: failed 684s gna issue548: ok 684s gna bug21497: failed 684s gna issue552: failed 684s gna issue553: ok 684s gna bug21500: failed 684s gna issue558: ok 684s gna bug21513: ok 684s gna bug22868: ok 684s gna bug23013: ok 684s gna issue559: ok 684s gna issue560: ok 684s gna bug23165: failed 684s gna bug23482: ok 684s gna issue561: failed 684s gna issue563: ok 685s gna issue578: ok 685s gna issue584: failed 685s gna bug24064: failed 685s gna issue586: ok 685s gna issue598: failed 685s gna bug24065: ok 685s gna bug24324: ok 685s gna bug24326: ok 685s gna bug7186: ok 685s gna issue606: failed 685s gna issue609: ok 685s gna issue610: failed 685s gna issue613: ok 685s gna bug7751: failed 685s gna deb573721: ok 685s gna issue615: failed 685s gna deb585748: ok 685s gna issue616: failed 685s gna deb585750: ok 685s gna fst01: ok 685s gna gls7: ok 685s gna issue10: failed 685s gna issue618: ok 685s gna issue1015: ok 685s gna issue103: ok 685s gna issue620: failed 685s gna issue621: ok 685s gna issue623: ok 685s gna issue1038: failed 685s gna issue626: failed 685s gna issue1055: failed 685s gna issue627: ok 685s gna issue630: ok 685s gna issue631: ok 685s gna issue1057: ok 685s gna issue632: ok 685s gna issue634: ok 686s gna issue106: failed 686s gna issue1063: failed 686s gna issue1066: ok 686s gna issue1067: failed 686s gna issue107: ok 686s gna issue1120: failed 686s gna issue1123: failed 686s gna issue1125: failed 686s gna issue1128: failed 686s gna issue1129: failed 686s gna issue1131: failed 686s gna issue635: ok 686s gna issue636: ok 686s gna issue637: failed 686s gna issue1137: failed 686s gna issue641: failed 686s gna issue1138: failed 686s gna issue642: failed 686s gna issue643: failed 686s gna issue1145: failed 686s gna issue1152: ok 687s gna issue645: failed 687s gna issue646: failed 687s gna issue648: failed 687s gna issue652: ok 687s gna issue1191: failed 687s gna issue1196: ok 687s gna issue654: ok 687s gna issue1201: failed 687s gna issue660: failed 687s gna issue1206: ok 687s gna issue663: failed 687s gna issue1224: failed 687s gna issue1226: failed 687s gna issue664: failed 687s gna issue1228: failed 687s gna issue666: ok 687s gna issue1229: ok 687s gna issue123: failed 687s gna issue668: failed 687s gna issue1233: failed 688s gna issue67: ok 688s gna issue672: failed 688s gna issue1240: ok 688s gna issue1246: failed 688s gna issue676: failed 688s gna issue679: ok 688s gna issue1249: ok 688s gna issue125: failed 688s gna issue683: ok 688s gna issue1252: ok 688s gna issue685: failed 688s gna issue1256: failed 688s gna issue687: failed 688s gna issue1257: failed 688s gna issue126: ok 688s gna issue689: failed 688s gna issue69: failed 688s gna issue690: ok 688s gna issue691: ok 688s gna issue1262: failed 688s gna issue1268: failed 688s gna issue1269: ok 688s gna issue692: ok 688s gna issue1274: ok 688s gna issue694: failed 688s gna issue695: ok 688s gna issue1287: ok 689s gna issue1288: ok 689s gna issue697: failed 689s gna issue705: ok 689s gna issue1292: failed 689s gna issue707: ok 689s gna issue1295: failed 689s gna issue708: ok 689s gna issue71: ok 689s gna issue1300: failed 689s gna issue710: failed 689s gna issue132: ok 689s gna issue713: failed 689s gna issue715: failed 689s gna issue1323: failed 689s gna issue717: ok 689s gna issue1326: ok 689s gna issue718: failed 689s gna issue1338: failed 689s gna issue719: ok 689s gna issue72: ok 689s gna issue726: ok 689s gna issue729: ok 689s gna issue1346: ok 689s gna issue73: failed 689s gna issue1347: failed 689s gna issue731: failed 689s gna issue734: ok 689s gna issue1354: failed 689s gna issue136: ok 690s gna issue735: ok 690s gna issue1360: failed 690s gna issue736: failed 690s gna issue737: failed 690s gna issue747: ok 690s gna issue756: failed 690s gna issue1361: failed 690s gna issue772: ok 690s gna issue1362: ok 690s gna issue776: failed 690s gna issue777: ok 690s gna issue779: ok 690s gna issue1364: ok 690s gna issue1370: ok 690s gna issue1371: ok 690s gna issue780: failed 690s gna issue786: failed 690s gna issue787: failed 690s gna issue1376: failed 690s gna issue788: failed 690s gna issue1377: ok 690s gna issue791: ok 690s gna issue1379: ok 690s gna issue792: ok 690s gna issue794: ok 690s gna issue1381: ok 690s gna issue795: failed 690s gna issue1384: ok 690s gna issue1385: ok 690s gna issue797: ok 690s gna issue803: ok 690s gna issue1386: ok 691s gna issue807: failed 691s gna issue810: ok 691s gna issue813: ok 691s gna issue1387: ok 691s gna issue816: ok 691s gna issue817: ok 691s gna issue1389: failed 691s gna issue818: failed 691s gna issue1392: failed 691s gna issue821: failed 691s gna issue14: failed 691s gna issue140: ok 691s gna issue828: failed 691s gna issue830: ok 691s gna issue1403: ok 691s gna issue838: ok 691s gna issue1404: failed 691s gna issue852: failed 691s gna issue857: ok 691s gna issue1405: failed 691s gna issue860: ok 691s gna issue141: ok 691s gna issue864: ok 691s gna issue1412: ok 691s gna issue869: ok 691s gna issue873: ok 691s gna issue1415: failed 691s gna issue874: failed 691s gna issue875: ok 691s gna issue1416: failed 691s gna issue877: failed 691s gna issue880: failed 691s gna issue881: ok 691s gna issue1419: failed 691s gna issue885: failed 691s gna issue886: ok 691s gna issue1420: failed 692s gna issue887: failed 692s gna issue899: failed 692s gna issue9: ok 692s gna issue1425: ok 692s gna issue912: failed 692s gna issue1429: failed 692s gna issue143: ok 692s gna issue913: failed 692s gna issue1431: failed 692s gna issue144: ok 692s gna issue916: failed 692s gna issue917: failed 692s gna issue1440: failed 692s gna issue918: failed 692s gna issue1443: failed 692s gna issue922: failed 692s gna issue1453: failed 692s gna issue961: failed 692s gna issue1455: ok 692s gna issue1456: ok 692s gna issue1461: ok 692s gna issue98: failed 692s gna issue1469: failed 693s gna issue147: ok 693s gna issue983: failed 693s gna issue1473: ok 693s gna issue1474: failed 693s gna issue148: ok 693s gna issue984: failed 693s gna issue1480: ok 693s gna issue1485: ok 693s gna issue1486: ok 693s gna issue99: ok 693s gna issue1490: ok 693s gna lsp27: failed 693s gna issue1493: failed 693s gna issue15: failed 693s gna issue150: failed 693s gna issue1500: ok 693s gna issue151: ok 693s gna issue1514: ok 693s gna issue1515: failed 693s gna issue1517: ok 693s gna issue152: ok 693s gna issue1523: failed 693s gna issue1527: ok 694s gna issue1528: failed 694s gna issue1549: failed 694s gna issue155: ok 694s gna issue156: ok 694s gna issue158: failed 694s gna issue1588: ok 694s gna issue1589: failed 694s gna issue1593: failed 694s gna issue1597: ok 694s gna issue160: ok 694s gna issue1602: ok 694s gna issue1612: failed 694s gna issue1623: ok 694s gna issue1624: ok 694s gna issue1625: failed 694s gna issue163: failed 695s gna issue1631: ok 695s gna issue1637: ok 695s gna issue1639: ok 695s gna issue1640: ok 695s gna issue1646: ok 695s gna issue1654: failed 695s gna issue1655: ok 695s gna issue1657: failed 695s gna issue1664: ok 695s gna issue1667: failed 695s gna issue167: ok 695s gna issue1672: failed 695s gna issue1677: ok 696s gna issue1684: ok 696s gna issue1687: ok 696s gna issue1688: failed 696s gna issue1689: failed 696s gna issue1690: failed 696s gna issue1691: ok 696s gna issue1697: ok 696s gna issue17: failed 696s gna issue1700: ok 696s gna issue1704: failed 696s gna issue1708: failed 696s gna issue1715: failed 696s gna issue1717: ok 696s gna issue1718: ok 696s gna issue1721: ok 697s gna issue1723: ok 697s gna issue1724: ok 697s gna issue1726: ok 697s gna issue1727: ok 697s gna issue1736: ok 697s gna issue1751: ok 697s gna issue1757: failed 697s gna issue1759: ok 697s gna issue176: ok 697s gna issue1764: failed 697s gna issue1765: ok 697s gna issue1768: ok 697s gna issue1771: failed 697s gna issue1772: failed 698s gna issue1779: ok 698s gna issue18: failed 698s gna issue1810: ok 698s gna issue1814: failed 698s gna issue1818: failed 698s gna issue1820: ok 698s gna issue1823: ok 698s gna issue1824: ok 698s gna issue1831: failed 698s gna issue1832: failed 699s gna issue1833: failed 699s gna issue1834: ok 699s gna issue1836: failed 699s gna issue1837: ok 699s gna issue1843: failed 699s gna issue1844: failed 699s gna issue1857: failed 699s gna issue1862: failed 699s gna issue1864: ok 699s gna issue1867: failed 699s gna issue1872: failed 699s gna issue1875: ok 699s gna issue1876: ok 700s gna issue1881: failed 700s gna issue1883: ok 700s gna issue1894: ok 700s gna issue1897: ok 700s gna issue1898: failed 700s gna issue190: failed 700s gna issue1908: ok 700s gna issue191: ok 700s gna issue1913: ok 700s gna issue1914: failed 700s gna issue1919: ok 700s gna issue1924: failed 701s gna issue1934: ok 701s gna issue1935: ok 701s gna issue1943: ok 701s gna issue1953: ok 701s gna issue1965: ok 701s gna issue1979: ok 702s gna issue1980: failed 702s gna issue199: failed 702s gna issue1992: ok 702s gna issue1994: failed 702s gna issue1999: ok 702s gna issue2: failed 702s gna issue20: failed 702s gna issue2005: ok 702s gna issue2006: ok 702s gna issue201: ok 702s gna issue202: failed 703s gna issue2026: failed 703s gna issue2031: ok 703s gna issue2038: ok 703s gna issue204: ok 703s gna issue2048: ok 703s gna issue205: ok 703s gna issue2050: ok 703s gna issue2051: failed 703s gna issue2055: failed 703s gna issue2065: failed 703s gna issue2066: ok 703s gna issue207: ok 704s gna issue2070: ok 704s gna issue2071: failed 704s gna issue2076: ok 704s gna issue209: ok 704s gna issue2091: failed 704s gna issue2097: failed 704s gna issue2098: failed 704s gna issue2100: ok 704s gna issue2101: ok 704s gna issue2103: ok 705s gna issue2104: ok 705s gna issue2110: ok 705s gna issue2112: failed 705s gna issue2115: failed 706s gna issue2116: ok 706s gna issue2117: ok 706s gna issue2118: failed 706s gna issue212: ok 706s gna issue2131: ok 706s gna issue2134: ok 706s gna issue2136: failed 706s gna issue2138: failed 706s gna issue2141: failed 706s gna issue2147: failed 706s gna issue2148: ok 706s gna issue2150: ok 706s gna issue2152: failed 706s gna issue2153: failed 706s gna issue2155: failed 706s gna issue2156: ok 707s gna issue2157: failed 707s gna issue216: ok 707s gna issue2162: ok 707s gna issue2163: ok 707s gna issue2164: ok 707s gna issue2165: ok 707s gna issue2166: failed 707s gna issue2171: ok 707s gna issue2172: ok 707s gna issue2173: ok 707s gna issue2174: failed 707s gna issue2175: failed 707s gna issue2179: ok 708s gna issue2185: ok 708s gna issue2189: failed 708s gna issue2190: failed 708s gna issue2193: failed 708s gna issue2196: ok 708s gna issue2198: ok 708s gna issue2200: ok 708s gna issue2202: ok 708s gna issue2209: ok 708s gna issue2212: failed 708s gna issue2215: failed 709s gna issue2216: failed 709s gna issue2217: ok 709s gna issue2218: failed 709s gna issue2219: ok 709s gna issue2221: ok 709s gna issue2223: failed 709s gna issue223: ok 709s gna issue2233: ok 709s gna issue2235: ok 709s gna issue2238: ok 717s gna perf02-long: failed 717s gna sr2553: ok 717s gna sr2655: failed 717s gna sr2676: failed 718s gna sr2737: failed 718s gna sr2903: ok 718s gna sr2940: ok 718s gna sr3028: ok 718s gna sr3217: ok 718s gna ticket101: ok 718s gna ticket104: ok 718s gna ticket109: ok 718s gna ticket11: failed 718s gna ticket14: failed 718s gna ticket15: ok 718s gna ticket18: failed 718s gna ticket19: failed 718s gna ticket20: failed 718s gna ticket24: failed 718s gna ticket26: failed 719s gna ticket29: ok 719s gna ticket30: ok 719s gna ticket31: ok 719s gna ticket32: failed 719s gna ticket35: ok 719s gna ticket37: failed 719s gna ticket38: failed 719s gna ticket39: failed 719s gna ticket40: ok 719s gna ticket41: ok 719s gna ticket43: ok 719s gna ticket44: ok 719s gna ticket46: ok 720s gna ticket47: ok 720s gna ticket48: ok 720s gna ticket49: ok 720s gna ticket50: ok 720s gna ticket51: ok 720s gna ticket52: ok 720s gna ticket53: failed 720s gna ticket54: ok 720s gna ticket55: ok 720s gna ticket56: ok 720s gna ticket57: ok 720s gna ticket58: ok 720s gna ticket59: failed 720s gna ticket61: failed 720s gna ticket62: ok 720s gna ticket63: ok 721s gna ticket64: ok 721s gna ticket65: ok 721s gna ticket66: failed 721s gna ticket67: ok 721s gna ticket68: ok 721s gna ticket69: failed 721s gna ticket70: failed 721s gna ticket71: failed 721s gna ticket73: failed 721s gna ticket74: failed 721s gna ticket75: ok 721s gna ticket76: ok 721s gna ticket77: failed 722s gna ticket78: failed 722s gna ticket79: ok 722s gna ticket81: ok 722s gna ticket83: ok 722s gna ticket84: failed 722s gna ticket86: failed 722s gna ticket87: ok 722s gna ticket88: ok 722s gna ticket9: ok 722s gna ticket90: ok 722s gna ticket92: failed 722s gna ticket93: ok 722s gna ticket94: failed 722s gna ticket95: ok 722s gna ticket96: failed 722s gna ticket97: ok 722s gna ticket98: ok 722s gna bug01: failed 722s analyze foo.vhdl 722s elaborate and simulate foo 722s ./foo:error: NULL access dereferenced 722s ./foo:error: error during elaboration 722s 722s 722s gna issue2244: failed 722s analyze mve.vhdl 722s elaborate and simulate mve 722s ./mve:error: cannot open file "STD_INPUT" 722s ./mve:error: error during elaboration 722s 722s 722s gna issue225: failed 722s analyze repro.vhdl 722s elaborate and simulate foo_tb 722s ./foo_tb:error: NULL access dereferenced 722s ./foo_tb:error: error during elaboration 722s 722s 722s gna issue2250: failed 722s analyze ent.vhdl 722s elaborate and simulate test 722s ./test:error: cannot open file "STD_INPUT" 722s ./test:error: error during elaboration 722s 722s 722s gna bug010: failed 722s analyze FIFO.vhdl TestFIFO.vhdl 722s TestFIFO.vhdl:151:29:warning: declaration of "i" hides variable "i" [-Whide] 722s for i in 0 to 255 loop 722s ^ 722s TestFIFO.vhdl:159:29:warning: declaration of "i" hides variable "i" [-Whide] 722s for i in 0 to 255 loop 722s ^ 722s elaborate and simulate testfifo --stop-time=4us 722s ./testfifo:error: NULL access dereferenced 722s ./testfifo:error: error during elaboration 722s 722s 722s gna issue2264: failed 722s try to analyze repro1.vhdl 722s repro1.vhdl:29:27:error: can't match "my_cst" with type record type "my_rec" 722s v := work.repro1_pkg4.my_cst; 722s ^ 722s analyze tb.vhdl 722s elaborate and simulate test_pattern_generator_tb --stop-time=100ns 722s ./test_pattern_generator_tb:error: cannot open file "STD_INPUT" 722s ./test_pattern_generator_tb:error: error during elaboration 722s 722s 722s gna issue2269: failed 722s analyze ent.vhdl 722s elaborate and simulate ent 722s ./ent:error: cannot open file "STD_INPUT" 722s ./ent:error: error during elaboration 722s 722s 722s gna issue227: failed 722s analyze repro.vhdl 722s elaborate and simulate test 722s analyze --ieee=synopsys test.vhdl 722s test.vhdl:1:1:warning: entity "test" was also defined in file "repro.vhdl" [-Wlibrary] 722s library ieee; 722s ^ 722s elaborate and simulate --ieee=synopsys test 722s ./test:error: cannot open file "STD_INPUT" 722s ./test:error: error during elaboration 722s 722s 722s gna issue2276: failed 722s analyze mwe.vhdl 722s elaborate and simulate mwe 722s ./mwe:error: cannot open file "STD_INPUT" 722s ./mwe:error: error during elaboration 722s 722s 722s gna bug0103: failed 722s analyze repro.vhdl 722s elaborate and simulate repro 722s ./repro:error: NULL access dereferenced 722s ./repro:error: error during elaboration 722s 722s 722s gna issue228: failed 722s analyze tb.vhdl 722s 722s 722s gna bug0105: failed 722s analyze econcat1.vhdl 722s elaborate and simulate econcat1 722s ./econcat1:error: NULL access dereferenced 722s ./econcat1:error: error during elaboration 722s 722s 722s gna issue2288: failed 722s analyze tb_minimal.vhdl 722s elaborate and simulate tb_minimal 722s ./tb_minimal:error: cannot open file "STD_INPUT" 722s ./tb_minimal:error: error during elaboration 722s 722s 722s gna bug0109: failed 722s analyze repro.vhdl 722s elaborate and simulate repro 722s ./repro:error: NULL access dereferenced 722s in process .repro(behavioral).P0 722s ./repro:error: simulation failed 722s 722s 722s gna issue2299: failed 722s analyze tb_dct8.vhdl 722s elaborate and simulate tb_dct8 722s ./tb_dct8:error: cannot open file "STD_INPUT" 722s ./tb_dct8:error: error during elaboration 722s 722s 722s gna bug011: failed 722s analyze phonybench.vhdl 722s elaborate phonybench 722s elaborate and simulate phonybench --stop-time=1sec --fst=pb.fst 722s ./phonybench:error: NULL access dereferenced 722s ./phonybench:error: error during elaboration 722s 722s 722s gna bug0115: failed 722s analyze repro1.vhdl 722s elaborate and simulate repro1 --checks 722s ./repro1:error: NULL access dereferenced 722s ./repro1:error: error during elaboration 722s 722s 722s gna bug0117: failed 722s analyze repro1.vhdl 722s elaborate and simulate repro1 722s ./repro1:error: NULL access dereferenced 722s in process .repro1(behav).P0 722s ./repro1:error: simulation failed 722s 722s 722s gna issue2326: failed 722s analyze generic_package.vhdl 722s elaborate and simulate testbench 722s ./testbench:error: NULL access dereferenced 722s ./testbench:error: error during elaboration 722s 722s 722s gna bug012: failed 722s analyze demo.vhd 722s elaborate and simulate bar structural 722s ./bar-structural:error: NULL access dereferenced 722s ./bar-structural:error: error during elaboration 722s 722s 722s gna issue233: failed 723s analyze test.vhdl 723s analyze repro.vhdl 723s repro.vhdl:1:1:warning: entity "test1" was also defined in file "test.vhdl" [-Wlibrary] 723s ENTITY test1 IS 723s ^ 723s repro.vhdl:16:1:warning: entity "test" was also defined in file "test.vhdl" [-Wlibrary] 723s ENTITY test IS 723s ^ 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna bug0123: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna bug0125: failed 723s analyze repro.vhdl tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s in process .tb(behav).P0 723s ./tb:error: simulation failed 723s 723s 723s gna bug0128: failed 723s analyze test2.vhdl 723s 723s 723s gna issue2336: failed 723s analyze test_issue_ok.vhdl 723s elaborate and simulate test_issue_ok --assert-level=error 723s ./test_issue_ok:error: cannot open file "STD_INPUT" 723s ./test_issue_ok:error: error during elaboration 723s 723s 723s gna bug0129: failed 723s analyze mwe.vhdl 723s elaborate and simulate mwe 723s ./mwe:error: cannot open file "STD_INPUT" 723s ./mwe:error: error during elaboration 723s 723s 723s gna issue2337: failed 723s analyze repro_tb.vhdl 723s elaborate and simulate repro_tb 723s ./repro_tb:error: cannot open file "STD_INPUT" 723s ./repro_tb:error: error during elaboration 723s 723s 723s gna issue2344: failed 723s analyze ent1.vhdl 723s elaborate and simulate ent2 723s ./ent2:error: NULL access dereferenced 723s ./ent2:error: error during elaboration 723s 723s 723s gna bug0131: failed 723s try to analyze foo.vhdl 723s foo.vhdl:18:17:error: choice must be locally static expression 723s WHEN B => 723s ^ 723s analyze foo.vhdl 723s elaborate and simulate foo 723s ./foo:error: cannot open file "STD_INPUT" 723s ./foo:error: error during elaboration 723s 723s 723s gna issue2346: failed 723s analyze aggr.vhdl 723s elaborate and simulate AggregateWithDelay 723s ./aggregatewithdelay:error: cannot open file "STD_INPUT" 723s ./aggregatewithdelay:error: error during elaboration 723s 723s 723s gna bug0134: failed 723s analyze test_logic.vhdl 723s elaborate and simulate test_logic 723s test_logic.vhdl:29:5:warning: instance "x1" of component "AND1" is not bound [-Wbinding] 723s x1: AND1 port map(s => "not"(a), 723s ^ 723s test_logic.vhdl:8:14:warning: (in default configuration of test_logic(structure)) 723s test_logic.vhdl:32:5:warning: instance "x2" of component "AND1" is not bound [-Wbinding] 723s x2: AND1 port map(s => "not"(b), 723s ^ 723s test_logic.vhdl:8:14:warning: (in default configuration of test_logic(structure)) 723s test_logic.vhdl:35:5:warning: instance "x3" of component "AND1" is not bound [-Wbinding] 723s x3: AND1 port map(s => a, 723s ^ 723s test_logic.vhdl:8:14:warning: (in default configuration of test_logic(structure)) 723s test_logic.vhdl:38:5:warning: instance "x4" of component "OR1" is not bound [-Wbinding] 723s x4: OR1 port map(x => e, 723s ^ 723s test_logic.vhdl:8:14:warning: (in default configuration of test_logic(structure)) 723s ./test_logic:error: NULL access dereferenced 723s ./test_logic:error: error during elaboration 723s 723s 723s gna bug0136: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s ./repro1:error: error during elaboration 723s 723s 723s gna bug015: failed 723s analyze phys.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s in process .tb(test).P0 723s ./tb:error: simulation failed 723s 723s 723s gna issue2353: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s repro1.vhdl:11:5:@0ms:(report note): get1 called 723s ./repro1:error: NULL access dereferenced 723s in process .repro1(behav).P0 723s ./repro1:error: simulation failed 723s 723s 723s gna bug017: failed 723s analyze call1.vhdl 723s elaborate and simulate call1 --assert-level=error 723s ./call1:error: NULL access dereferenced 723s in process .call1(behav).P0 723s ./call1:error: simulation failed 723s Failure of call1 723s 723s 723s gna issue2356: failed 723s analyze repro_tb.vhdl 723s elaborate and simulate repro_tb 723s ./repro_tb:error: cannot open file "STD_INPUT" 723s ./repro_tb:error: error during elaboration 723s 723s 723s gna bug018: failed 723s analyze repro.vhdl 723s elaborate and simulate repro_ent 723s ./repro_ent:error: NULL access dereferenced 723s ./repro_ent:error: error during elaboration 723s 723s 723s gna issue2357: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s ./repro1:error: error during elaboration 723s 723s 723s gna issue237: failed 723s analyze test_array.vhdl 723s elaborate test_array 723s /usr/bin/gcc 723s /usr/bin/gcc -c vpi1.c -I/usr/lib/ghdl/include/ghdl -fPIC 723s /usr/bin/gcc -o vpi1.vpi vpi1.o --shared -L/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/usr/lib/ghdl/llvm 723s simulate test_array --vpi=./vpi1.vpi ( test_array --vpi=./vpi1.vpi) 723s loading VPI module './vpi1.vpi' 723s VPI module loaded! 723s ./test_array:error: NULL access dereferenced 723s ./test_array:error: error during elaboration 723s 723s 723s gna issue238: failed 723s analyze cst.vhdl pkg.vhdl 723s analyze var1.vhdl var2.vhdl var3.vhdl var4.vhdl 723s analyze assign1.vhdl proc1.vhdl 723s elaborate and simulate proc1 723s ./proc1:error: NULL access dereferenced 723s in process .proc1(behav).P0 723s ./proc1:error: simulation failed 723s 723s 723s gna issue2381: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2388: failed 723s run /usr/bin/ghdl-llvm -i top_a.vhd top_e.vhd 723s run /usr/bin/ghdl-llvm -m unit_a 723s analyze top_e.vhd 723s analyze top_a.vhd 723s elaborate unit_a 723s simulate unit_a ( unit_a) 723s ./unit_a:error: NULL access dereferenced 723s ./unit_a:error: error during elaboration 723s 723s 723s gna issue2393: failed 723s analyze cast_enum1.vhdl 723s elaborate and simulate cast_enum1 723s ./cast_enum1:error: NULL access dereferenced 723s ./cast_enum1:error: error during elaboration 723s 723s 723s gna issue2395: failed 723s analyze test.vhdl 723s test.vhdl:34:17:warning: declaration of "axis_t" hides type "axis_t" [-Whide] 723s subtype axis_t is axi4s.axis_t( 723s ^ 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2396: failed 723s analyze frequency.vhdl 723s frequency.vhdl:8:10:warning: declaration of "frequency" hides package "frequency" [-Whide] 723s type frequency is range 0 to 2e9 units 723s ^ 723s analyze tb_freq.vhdl 723s elaborate and simulate tb_freq --stop-time=1us 723s ./tb_freq:error: cannot open file "STD_INPUT" 723s ./tb_freq:error: error during elaboration 723s 723s 723s gna issue2407: failed 723s analyze shift_register.vhdl 723s analyze shift_register_tb.vhdl 723s elaborate and simulate shift_register_tb 723s ./shift_register_tb:error: cannot open file "STD_INPUT" 723s ./shift_register_tb:error: error during elaboration 723s 723s 723s gna issue2410: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2417: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: cannot open file "STD_INPUT" 723s ./repro1:error: error during elaboration 723s 723s 723s gna issue242: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2421: failed 723s try to analyze --std=93 top.vhdl 723s top.vhdl:12:19:error: 'others' choice not allowed for an aggregate in this context 723s output <= (others => '0'); 723s ^ 723s top.vhdl:24:20:error: entity "top" was not analysed 723s architecture a2 of top is 723s ^ 723s try to analyze --std=08 top.vhdl 723s top.vhdl:12:19:error: 'others' choice not allowed for an aggregate in this context 723s output <= (others => '0'); 723s ^ 723s top.vhdl:24:20:error: entity "top" was not analysed 723s architecture a2 of top is 723s ^ 723s analyze top.vhdl 723s elaborate and simulate top 723s ./top:error: NULL access dereferenced 723s ./top:error: error during elaboration 723s 723s 723s gna bug019: failed 723s analyze --work=poc PoC/tb/common/my_config_ML505.vhdl 723s analyze --work=poc PoC/tb/common/my_project.vhdl 723s analyze --work=poc PoC/src/common/utils.vhdl 723s PoC/src/common/utils.vhdl:576:17:warning: expression constraints don't match target ones [-Wruntime-error] 723s Result := 0; 723s ^ 723s analyze --work=poc PoC/src/common/config.vhdl 723s analyze --work=poc PoC/src/common/strings.vhdl 723s analyze --work=poc PoC/src/common/vectors.vhdl 723s PoC/src/common/vectors.vhdl:101:107:warning: declaration of "High" hides function "high" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low 723s ^ 723s PoC/src/common/vectors.vhdl:101:123:warning: declaration of "Low" hides function "low" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low 723s ^ 723s PoC/src/common/vectors.vhdl:107:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low 723s ^ 723s PoC/src/common/vectors.vhdl:107:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low 723s ^ 723s PoC/src/common/vectors.vhdl:113:59:warning: declaration of "High" hides function "high" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low 723s ^ 723s PoC/src/common/vectors.vhdl:113:75:warning: declaration of "Low" hides function "low" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low 723s ^ 723s PoC/src/common/vectors.vhdl:215:107:warning: declaration of "High" hides function "high" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is 723s ^ 723s PoC/src/common/vectors.vhdl:215:123:warning: declaration of "Low" hides function "low" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is 723s ^ 723s PoC/src/common/vectors.vhdl:246:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s PoC/src/common/vectors.vhdl:246:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s PoC/src/common/vectors.vhdl:286:59:warning: declaration of "High" hides function "high" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is 723s ^ 723s PoC/src/common/vectors.vhdl:286:75:warning: declaration of "Low" hides function "low" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is 723s ^ 723s analyze --work=poc PoC/src/common/physical.vhdl 723s analyze --work=poc PoC/src/common/components.vhdl 723s analyze --work=poc PoC/tb/common/simulation.v93.vhdl 723s analyze --work=poc PoC/src/io/uart/uart.pkg.vhdl 723s analyze --work=poc PoC/src/io/uart/uart_bclk.vhdl 723s analyze --work=poc PoC/src/io/uart/uart_rx.vhdl 723s analyze --work=test PoC/tb/io/uart/uart_rx_tb.vhdl 723s PoC/tb/io/uart/uart_rx_tb.vhdl:64:64:warning: declaration of "Baudrate" hides constant "BAUDRATE" [-Whide] 723s function simGenerateWaveform_UART_Word(Data : T_SLV_8; Baudrate : BAUD := 115.200 kBd) return T_SIM_WAVEFORM_SL is 723s ^ 723s PoC/tb/io/uart/uart_rx_tb.vhdl:76:67:warning: declaration of "Baudrate" hides constant "BAUDRATE" [-Whide] 723s function simGenerateWaveform_UART_Stream(Data : T_SLVV_8; Baudrate : BAUD := 115.200 kBd) return T_SIM_WAVEFORM_SL is 723s ^ 723s elaborate and simulate --syn-binding --work=test uart_rx_tb --stop-time=15us 723s ./uart_rx_tb:error: NULL access dereferenced 723s ./uart_rx_tb:error: error during elaboration 723s 723s 723s gna issue2422: failed 723s analyze aggr_repro1.vhdl 723s elaborate and simulate aggr_repro1 723s ./aggr_repro1:error: NULL access dereferenced 723s ./aggr_repro1:error: error during elaboration 723s 723s 723s gna bug02: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s in process .repro(behav).P0 723s ./repro:error: simulation failed 723s 723s 723s gna issue2424: failed 723s analyze ent.vhdl 723s elaborate and simulate top 723s ./top:error: NULL access dereferenced 723s ./top:error: error during elaboration 723s 723s 723s gna bug022: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: NULL access dereferenced 723s ./test:error: error during elaboration 723s 723s 723s gna bug023: failed 723s analyze ppkg.vhdl ppkg_tb.vhdl 723s elaborate and simulate ppkg_tb 723s ./ppkg_tb:error: NULL access dereferenced 723s in process .ppkg_tb(behav).P0 723s ./ppkg_tb:error: simulation failed 723s 723s 723s gna issue2429: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s ./repro1:error: error during elaboration 723s 723s 723s gna bug03: failed 723s analyze wor_std.vhdl 723s elaborate and simulate foe 723s ./foe:error: NULL access dereferenced 723s ./foe:error: error during elaboration 723s 723s 723s gna issue2430: failed 723s analyze my_entity.vhdl 723s elaborate and simulate my_entity 723s ./my_entity:error: cannot open file "STD_INPUT" 723s ./my_entity:error: error during elaboration 723s 723s 723s gna issue2432: failed 723s analyze test_tb.vhdl 723s elaborate and simulate test_tb 723s ./test_tb:error: NULL access dereferenced 723s ./test_tb:error: error during elaboration 723s 723s 723s gna issue2435: failed 723s analyze my_pkg.vhdl my_tb.vhdl 723s elaborate and simulate my_tb 723s ./my_tb:error: cannot open file "STD_INPUT" 723s ./my_tb:error: error during elaboration 723s 723s 723s gna issue2437: failed 723s analyze tapped_delay_line.vhdl 723s elaborate and simulate -g tapped_delay_line_tb 723s ./tapped_delay_line_tb:error: cannot open file "STD_INPUT" 723s ./tapped_delay_line_tb:error: error during elaboration 723s 723s 723s gna issue244: failed 723s analyze test.vhdl 723s test.vhdl:10:12:warning: declaration of "test" hides entity "test" [-Whide] 723s FUNCTION test 723s ^ 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2440: failed 723s analyze ent.vhdl 723s elaborate and simulate axi4bfm_memory 723s ./axi4bfm_memory:error: cannot open file "STD_INPUT" 723s ./axi4bfm_memory:error: error during elaboration 723s 723s 723s gna issue2441: failed 723s analyze ent.vhdl 723s elaborate and simulate anotherbug 723s ./anotherbug:error: NULL access dereferenced 723s ./anotherbug:error: error during elaboration 723s 723s 723s gna issue2445: failed 723s analyze lfsr.vhdl 723s analyze blk.vhdl 723s elaborate and simulate blk 723s ./blk:error: NULL access dereferenced 723s ./blk:error: error during elaboration 723s 723s 723s gna issue2448: failed 723s analyze debouncer.vhdl debouncer_no_vunit_not_ok_tb.vhdl 723s elaborate and simulate debouncer_no_vunit_not_ok_tb 723s ./debouncer_no_vunit_not_ok_tb:error: cannot open file "STD_INPUT" 723s ./debouncer_no_vunit_not_ok_tb:error: error during elaboration 723s 723s 723s gna issue2452: failed 723s analyze foo.vhdl tb_foo.vhdl 723s elaborate and simulate tb_foo 723s ./tb_foo:error: NULL access dereferenced 723s ./tb_foo:error: error during elaboration 723s 723s 723s gna issue2454: failed 723s analyze bug_test.vhdl 723s elaborate and simulate bug_test --stop-time=100ns 723s ./bug_test:error: cannot open file "STD_INPUT" 723s ./bug_test:error: error during elaboration 723s 723s 723s gna issue2459: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s in process .repro(a).P0 723s ./repro:error: simulation failed 723s 723s 723s gna issue2470: failed 723s analyze min_gen_pkg_tb_e.vhdl 723s elaborate and simulate min_gen_pkg_tb_e 723s ./min_gen_pkg_tb_e:error: cannot open file "STD_INPUT" 723s ./min_gen_pkg_tb_e:error: error during elaboration 723s 723s 723s gna issue2471: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2473: failed 723s analyze repro.vhdl 723s analyze repro2.vhdl 723s analyze repro3.vhdl 723s elaborate and simulate repro3 723s ./repro3:error: NULL access dereferenced 723s ./repro3:error: error during elaboration 723s 723s 723s gna issue2476: failed 723s analyze bug3.vhdl 723s elaborate and simulate bug_from_2417_fix 723s ./bug_from_2417_fix:error: NULL access dereferenced 723s ./bug_from_2417_fix:error: error during elaboration 723s 723s 723s gna issue2477: failed 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: cannot open file "STD_INPUT" 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue2480: failed 723s analyze foo.vhdl 723s elaborate foo 723s simulate foo --vcd=foo.vcd --vcd-enums --vcd-nodate ( foo --vcd=foo.vcd --vcd-enums --vcd-nodate) 723s foo.vhdl:16:13:@10ns:(report note): 723s state = a 723s ./foo:error: NULL access dereferenced 723s in process .foo(fum).P0 723s ./foo:error: simulation failed 723s 723s 723s gna bug035: failed 723s analyze --work=poc my_config_ML505.vhdl 723s analyze --work=poc my_project.vhdl 723s analyze --work=poc utils.vhdl 723s analyze --work=poc config.vhdl 723s config.vhdl:650:26:warning: declaration of "BOARD_NAME" hides function "BOARD_NAME" [-Whide] 723s constant BOARD_NAME : STRING := str_trim(MY_BRD); 723s ^ 723s analyze --work=poc strings.vhdl 723s analyze --work=poc vectors.vhdl 723s vectors.vhdl:101:107:warning: declaration of "High" hides function "high" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low 723s ^ 723s vectors.vhdl:101:123:warning: declaration of "Low" hides function "low" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low 723s ^ 723s vectors.vhdl:107:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all rows in RowIndex range high:low 723s ^ 723s vectors.vhdl:107:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all rows in RowIndex range high:low 723s ^ 723s vectors.vhdl:108:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low 723s ^ 723s vectors.vhdl:108:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low 723s ^ 723s vectors.vhdl:118:59:warning: declaration of "High" hides function "high" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low 723s ^ 723s vectors.vhdl:118:75:warning: declaration of "Low" hides function "low" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low 723s ^ 723s vectors.vhdl:231:107:warning: declaration of "High" hides function "high" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is 723s ^ 723s vectors.vhdl:231:123:warning: declaration of "Low" hides function "low" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is 723s ^ 723s vectors.vhdl:262:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s vectors.vhdl:262:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s vectors.vhdl:273:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s vectors.vhdl:273:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s vectors.vhdl:349:59:warning: declaration of "High" hides function "high" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is 723s ^ 723s vectors.vhdl:349:75:warning: declaration of "Low" hides function "low" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is 723s ^ 723s analyze --work=poc physical.vhdl 723s analyze --work=poc simulation.v93.vhdl 723s analyze --work=poc arith_prng.vhdl 723s analyze arith_prng_tb.vhdl 723s elaborate and simulate arith_prng_tb 723s ./arith_prng_tb:error: NULL access dereferenced 723s ./arith_prng_tb:error: error during elaboration 723s 723s 723s gna issue2481: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2486: failed 723s analyze bug.vhdl 723s analyze bug2.vhdl 723s bug2.vhdl:10:17:warning: declaration of "a" hides signal "a" [-Whide] 723s function foo (a, b: std_ulogic) return std_ulogic is 723s ^ 723s bug2.vhdl:10:20:warning: declaration of "b" hides signal "b" [-Whide] 723s function foo (a, b: std_ulogic) return std_ulogic is 723s ^ 723s elaborate and simulate bug2 723s ./bug2:error: cannot open file "STD_INPUT" 723s ./bug2:error: error during elaboration 723s 723s 723s gna issue2489: failed 723s analyze ent.vhdl 723s ent.vhdl:15:14:warning: NUMERIC_STD.TO_SIGNED: vector truncated 723s A_sv4 := to_signed(11, 4); 723s ^ 723s elaborate and simulate ent 723s ent.vhdl:15:14:warning: NUMERIC_STD.TO_SIGNED: vector truncated 723s A_sv4 := to_signed(11, 4); 723s ^ 723s ./ent:error: cannot open file "STD_INPUT" 723s ./ent:error: error during elaboration 723s 723s 723s gna issue2497: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s ./repro1:error: error during elaboration 723s 723s 723s gna issue2498: failed 723s analyze i2c_tb.vhdl 723s elaborate and simulate i2c_tb 723s ./i2c_tb:error: cannot open file "STD_INPUT" 723s ./i2c_tb:error: error during elaboration 723s 723s 723s gna issue2502: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s ./repro1:error: error during elaboration 723s 723s 723s gna issue2509: failed 723s analyze repro1_1.vhdl repro1_2.vhdl repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s in process .repro1(behav).P0 723s ./repro1:error: error during elaboration 723s 723s 723s gna issue2516: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2519: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue253: failed 723s analyze e.vhdl 723s elaborate and simulate e 723s e.vhdl:8:3:@0ms:(assertion note): '0' 723s e.vhdl:9:3:@0ms:(assertion note): '0' 723s ./e:error: NULL access dereferenced 723s in process .e(a).P2 723s ./e:error: simulation failed 723s 723s 723s gna issue2536: failed 723s analyze bug.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna issue254: failed 723s analyze e.vhdl 723s elaborate and simulate e 723s ./e:error: NULL access dereferenced 723s in process .e(a).P0 723s ./e:error: simulation failed 723s 723s 723s gna issue2540: failed 723s analyze ent.vhdl 723s elaborate and simulate test1 723s ./test1:error: cannot open file "STD_INPUT" 723s ./test1:error: error during elaboration 723s 723s 723s gna issue2549: failed 723s analyze pkg_types.vhd 723s analyze pkg_foo_public.vhd 723s analyze foo.vhd 723s analyze tb_foo.vhd 723s elaborate and simulate tb_foo --stop-time=1us 723s ./tb_foo:error: cannot open file "STD_INPUT" 723s ./tb_foo:error: error during elaboration 723s 723s 723s gna issue2550: failed 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: cannot open file "STD_INPUT" 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue2556: failed 723s analyze tb.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue256: failed 723s analyze testcase.vhd 723s analyze testcase_testbench.vhd 723s elaborate and simulate testcase_testbench 723s ./testcase_testbench:error: NULL access dereferenced 723s ./testcase_testbench:error: error during elaboration 723s 723s 723s gna issue2562: failed 723s analyze repro1.vhdl 723s elaborate and simulate test_entity 723s ./test_entity:error: NULL access dereferenced 723s in process .test_entity(rtl).P0 723s ./test_entity:error: error during elaboration 723s 723s 723s gna issue2564: failed 723s analyze mwe.vhdl 723s elaborate and simulate mwe_tb 723s ./mwe_tb:error: cannot open file "STD_INPUT" 723s ./mwe_tb:error: error during elaboration 723s 723s 723s gna issue2569: failed 723s analyze pkg.vhdl ent.vhdl top.vhdl 723s elaborate and simulate ent_use_constant 723s ./ent_use_constant:error: cannot open file "STD_INPUT" 723s ./ent_use_constant:error: error during elaboration 723s 723s 723s gna bug037: failed 723s analyze repro.vhdl 723s /usr/bin/ghdl-llvm:error: file 'repro' does not exist 723s /usr/bin/ghdl-llvm:error: Please elaborate your design. 723s Remove work library 723s analyze --work=poc my_config_ML505.vhdl 723s analyze --work=poc my_project.vhdl 723s analyze --work=poc utils.vhdl 723s utils.vhdl:189:49:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] 723s function scale(Value : INTEGER; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER; 723s ^ 723s utils.vhdl:189:73:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] 723s function scale(Value : INTEGER; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER; 723s ^ 723s utils.vhdl:190:49:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] 723s function scale(Value : REAL; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER; 723s ^ 723s utils.vhdl:190:73:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] 723s function scale(Value : REAL; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER; 723s ^ 723s utils.vhdl:191:49:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] 723s function scale(Value : REAL; Minimum : REAL; Maximum : REAL) return REAL; 723s ^ 723s utils.vhdl:191:89:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] 723s function scale(Value : REAL; Minimum : REAL; Maximum : REAL) return REAL; 723s ^ 723s utils.vhdl:888:41:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] 723s function scale(Value : INTEGER; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER is 723s ^ 723s utils.vhdl:888:60:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] 723s function scale(Value : INTEGER; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER is 723s ^ 723s utils.vhdl:893:38:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] 723s function scale(Value : REAL; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER is 723s ^ 723s utils.vhdl:893:57:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] 723s function scale(Value : REAL; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER is 723s ^ 723s utils.vhdl:911:38:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] 723s function scale(Value : REAL; Minimum : REAL; Maximum : REAL) return REAL is 723s ^ 723s utils.vhdl:911:54:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] 723s function scale(Value : REAL; Minimum : REAL; Maximum : REAL) return REAL is 723s ^ 723s analyze --work=poc config.vhdl 723s config.vhdl:650:26:warning: declaration of "BOARD_NAME" hides function "BOARD_NAME" [-Whide] 723s constant BOARD_NAME : STRING := str_trim(MY_BRD); 723s ^ 723s analyze --work=poc strings.vhdl 723s analyze --work=poc vectors.vhdl 723s vectors.vhdl:101:107:warning: declaration of "High" hides function "high" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low 723s ^ 723s vectors.vhdl:101:123:warning: declaration of "Low" hides function "low" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low 723s ^ 723s vectors.vhdl:107:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all rows in RowIndex range high:low 723s ^ 723s vectors.vhdl:107:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all rows in RowIndex range high:low 723s ^ 723s vectors.vhdl:108:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low 723s ^ 723s vectors.vhdl:108:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low 723s ^ 723s vectors.vhdl:118:59:warning: declaration of "High" hides function "high" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low 723s ^ 723s vectors.vhdl:118:75:warning: declaration of "Low" hides function "low" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low 723s ^ 723s vectors.vhdl:231:107:warning: declaration of "High" hides function "high" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is 723s ^ 723s vectors.vhdl:231:123:warning: declaration of "Low" hides function "low" [-Whide] 723s procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is 723s ^ 723s vectors.vhdl:262:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s vectors.vhdl:262:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s vectors.vhdl:273:46:warning: declaration of "High" hides function "high" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s vectors.vhdl:273:62:warning: declaration of "Low" hides function "low" [-Whide] 723s function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is 723s ^ 723s vectors.vhdl:349:59:warning: declaration of "High" hides function "high" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is 723s ^ 723s vectors.vhdl:349:75:warning: declaration of "Low" hides function "low" [-Whide] 723s function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is 723s ^ 723s analyze --work=poc physical.vhdl 723s analyze --work=poc sim_types.vhdl 723s analyze --work=poc sim_protected.v08.vhdl 723s analyze --work=poc sim_global.v08.vhdl 723s analyze --work=poc sim_simulation.v08.vhdl 723s analyze --work=poc arith.pkg.vhdl 723s analyze --work=poc arith_addw.vhdl 723s analyze arith_addw_tb.vhdl 723s elaborate and simulate arith_addw_tb --stop-time=100ns 723s ./arith_addw_tb:error: cannot open file "STD_INPUT" 723s ./arith_addw_tb:error: error during elaboration 723s 723s 723s gna issue257: failed 723s analyze minimum_tb.vhdl 723s elaborate and simulate minimum_tb 723s minimum_tb.vhdl:11:5:@0ms:(report note): MIN(a,b): 4 723s minimum_tb.vhdl:14:5:@0ms:(report note): MIN(arr): 4 723s analyze minimum_tb2.vhdl 723s elaborate and simulate minimum_tb2 723s minimum_tb2.vhdl:12:5:@0ms:(report note): MIN(a,b): 4 723s minimum_tb2.vhdl:15:5:@0ms:(report note): MIN(arr): 4 723s ./minimum_tb2:error: NULL access dereferenced 723s in process .minimum_tb2(tb).P0 723s ./minimum_tb2:error: simulation failed 723s 723s 723s gna issue2570: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna bug04: failed 723s analyze --std=93 std_logic_warning.vhdl 723s analyze test.vhdl 723s test.vhdl:1:1:warning: package "std_logic_warning" was also defined in file "std_logic_warning.vhdl" [-Wlibrary] 723s library ieee; 723s ^ 723s test.vhdl:25:1:warning: entity "warning_test" was also defined in file "std_logic_warning.vhdl" [-Wlibrary] 723s library ieee; 723s ^ 723s elaborate and simulate warning_test 723s test.vhdl:16:7:@0ms:(report warning): std_logic_warning."=": metavalue detected, returning FALSE 723s ./warning_test:error: NULL access dereferenced 723s in process .warning_test(foo).monitor 723s ./warning_test:error: simulation failed 723s 723s 723s gna issue2571: failed 723s analyze test1.vhdl 723s elaborate and simulate test1 723s ./test1:error: cannot open file "STD_INPUT" 723s ./test1:error: error during elaboration 723s 723s 723s gna issue2579: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: cannot open file "STD_INPUT" 723s ./repro:error: error during elaboration 723s 723s 723s gna issue2580: failed 723s analyze test_pkg.vhdl 723s analyze test1.vhdl 723s elaborate and simulate test1 723s ./test1:error: NULL access dereferenced 723s ./test1:error: error during elaboration 723s 723s 723s gna issue2581: failed 723s analyze aggr.vhdl 723s elaborate and simulate aggregate_issue --assert-level=error 723s ./aggregate_issue:error: cannot open file "STD_INPUT" 723s ./aggregate_issue:error: error during elaboration 723s 723s 723s gna issue259: failed 723s analyze testcase_ce.vhdl 723s elaborate and simulate testcase_ce 723s ./testcase_ce:error: cannot open file "STD_INPUT" 723s ./testcase_ce:error: error during elaboration 723s 723s 723s gna issue2590: failed 723s analyze test2.vhdl 723s elaborate and simulate test2 723s ./test2:error: cannot open file "STD_INPUT" 723s ./test2:error: error during elaboration 723s 723s 723s gna issue2594: failed 723s analyze test.vhdl 723s elaborate and simulate selsigass_tb 723s ./selsigass_tb:error: cannot open file "STD_INPUT" 723s ./selsigass_tb:error: error during elaboration 723s 723s 723s gna issue26: failed 723s analyze repro.vhdl 723s missing line number 723s 723s 723s gna issue262: failed 723s analyze repro.vhdl 723s elaborate and simulate repro --assert-level=error 723s ./repro:error: cannot open file "STD_INPUT" 723s ./repro:error: error during elaboration 723s 723s 723s gna issue2620: failed 723s analyze casetb.vhdl 723s elaborate and simulate case_questionmark_tb --assert-level=error 723s ./case_questionmark_tb:error: NULL access dereferenced 723s ./case_questionmark_tb:error: error during elaboration 723s 723s 723s gna issue2626: failed 723s analyze src/Example_Design/duplicator.vhd src/Example_Design/hexifier.vhd src/Example_Design/serializer.vhd src/Example_Design/merginator.vhd src/SDCard/sdcard_globals.vhd src/SDCard/sdcard_cmd.vhd src/Example_Design/sdcard_cmd_logger.vhd src/SDCard/sdcard_dat.vhd src/SDCard/sdcard_ctrl.vhd src/SDCard/sdcard_wrapper.vhd sim/sdcard_sim.vhd sim/tb_sdcard.vhd 723s elaborate and simulate tb_sdcard --assert-level=error --stop-time=200us 723s ./tb_sdcard:error: cannot open file "STD_INPUT" 723s ./tb_sdcard:error: error during elaboration 723s 723s 723s gna issue2631: failed 723s analyze protocol_pkg.vhd node.vhd top.vhd tb.vhd 723s elaborate and simulate tb 723s ./tb:error: cannot open file "STD_INPUT" 723s ./tb:error: error during elaboration 723s 723s 723s gna issue2645: failed 723s analyze tb.vhdl 723s elaborate and simulate example_tb 723s ./example_tb:error: NULL access dereferenced 723s ./example_tb:error: error during elaboration 723s 723s 723s gna issue290: failed 723s analyze TbNamesPkg.vhd TbNames.vhd 723s elaborate and simulate TbNames 723s ./tbnames:error: cannot open file "STD_INPUT" 723s ./tbnames:error: error during elaboration 723s 723s 723s gna issue293: failed 723s analyze repro.vhdl 723s elaborate and simulate tb_test 723s ./tb_test:error: cannot open file "STD_INPUT" 723s ./tb_test:error: error during elaboration 723s 723s 723s gna issue30: failed 723s analyze definitions.vhdl 723s analyze alu.vhdl 723s analyze basicblocks.vhdl 723s analyze tb-alu.vhdl 723s elaborate and simulate tb_alu --stop-time=50ns 723s ./tb_alu:error: NULL access dereferenced 723s ./tb_alu:error: error during elaboration 723s 723s 723s gna issue300: failed 723s analyze test_bench.vhdl 723s elaborate and simulate test_bench --stop-time=10ns 723s ./test_bench:error: NULL access dereferenced 723s ./test_bench:error: error during elaboration 723s 723s 723s gna issue301: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue310: failed 723s analyze b.vhdl 723s analyze bb.vhdl 723s analyze bbb.vhdl 723s elaborate and simulate bbb 723s ./bbb:error: NULL access dereferenced 723s in process .bbb(a).P0 723s ./bbb:error: error during elaboration 723s 723s 723s gna issue317: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: cannot open file "STD_INPUT" 723s ./repro1:error: error during elaboration 723s 723s 723s gna issue320: failed 723s analyze fuu.vhdl 723s fuu.vhdl:12:58:warning: prefix of array attribute must be an object name [-Wattribute] 723s report "expression'right = " & integer'image(fie'RIGHT); 723s ^ 723s elaborate and simulate fuu 723s ./fuu:error: NULL access dereferenced 723s in process .fuu(fum).P0 723s ./fuu:error: simulation failed 723s 723s 723s gna issue321: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s in process .repro(behav).P0 723s ./repro:error: simulation failed 723s 723s 723s gna issue328: failed 723s analyze repro.vhdl 723s repro.vhdl:13:27:warning: declaration of "s" hides signal "s" [-Whide] 723s procedure write (signal s : inout std_logic) is 723s ^ 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue332: failed 723s analyze ilos_sim_pkg.vhd 723s analyze irqc_pif_pkg.vhd 723s analyze irqc_pif.vhd 723s analyze irqc_tb.vhd 723s elaborate tb_irqc 723s simulate tb_irqc --wave=sim.ghw ( tb_irqc --wave=sim.ghw) 723s ./tb_irqc:error: cannot open file "STD_INPUT" 723s ./tb_irqc:error: error during elaboration 723s 723s 723s gna issue339: failed 723s analyze test_pkg.vhdl test_bench.vhdl 723s elaborate test_bench 723s simulate test_bench --stop-time=700ns --wave=w.ghw ( test_bench --stop-time=700ns --wave=w.ghw) 723s ./test_bench:error: cannot open file "STD_INPUT" 723s ./test_bench:error: error during elaboration 723s 723s 723s gna issue369: failed 723s analyze e.vhdl 723s elaborate and simulate e 723s ./e:error: NULL access dereferenced 723s in process .e(a).P0 723s ./e:error: simulation failed 723s 723s 723s gna issue371: failed 723s analyze test_string.vhdl 723s elaborate test_string 723s simulate test_string --wave=sim.ghw --stop-time=20ns ( test_string --wave=sim.ghw --stop-time=20ns) 723s ./test_string:error: NULL access dereferenced 723s ./test_string:error: error during elaboration 723s 723s 723s gna bug040: failed 723s analyze add_200.vhd add_201.vhd add_202.vhd add_203.vhd add_204.vhd bit_set_mask.vhd cmp_775.vhd cmp_779.vhd cmp_780.vhd cmp_787.vhd cmp_788.vhd cmp_790.vhd cmp_791.vhd cmp_792.vhd cmp_793.vhd cmp_794.vhd cmp_799.vhd cmp_800.vhd cmp_804.vhd cmp_846.vhd cmp_847.vhd cmp_848.vhd cmp_849.vhd cmp_850.vhd cmp_851.vhd cmp_852.vhd cmp_855.vhd cmp_861.vhd cmp_863.vhd cmp_865.vhd cmp_868.vhd cmp_869.vhd cmp_871.vhd cmp_873.vhd cmp_877.vhd cmp_878.vhd cmp_879.vhd cmp_880.vhd cmp_882.vhd cmp_885.vhd cmp_887.vhd cmp_962.vhd cmp_964.vhd cmp_971.vhd cmp_972.vhd cmp_973.vhd cmp_974.vhd cmp_975.vhd cmp_977.vhd cmp_978.vhd cmp_979.vhd cmp_985.vhd extend_mask.vhd fsm_224.vhd huff_make_dhuff_tb_ac_huffcode.vhd huff_make_dhuff_tb_ac_huffsize.vhd huff_make_dhuff_tb_dc_huffcode.vhd huff_make_dhuff_tb_dc_huffsize.vhd huffbuff.vhd idctbuff.vhd izigzag_index.vhd jpegfilebuf.vhd lmask.vhd mul_209.vhd mul_210.vhd mul_213.vhd mul_214.vhd mul_215.vhd mul_216.vhd mul_222.vhd muxb_784.vhd outdata_comp_buf.vhd outdata_comp_hpos.vhd outdata_comp_vpos.vhd p_jinfo_ac_dhuff_tbl_maxcode.vhd p_jinfo_ac_dhuff_tbl_mincode.vhd p_jinfo_ac_dhuff_tbl_ml.vhd p_jinfo_ac_dhuff_tbl_valptr.vhd p_jinfo_ac_xhuff_tbl_bits.vhd p_jinfo_ac_xhuff_tbl_huffval.vhd p_jinfo_comps_info_dc_tbl_no.vhd p_jinfo_comps_info_h_samp_factor.vhd p_jinfo_comps_info_id.vhd p_jinfo_comps_info_quant_tbl_no.vhd p_jinfo_dc_dhuff_tbl_maxcode.vhd p_jinfo_dc_dhuff_tbl_mincode.vhd p_jinfo_dc_dhuff_tbl_ml.vhd p_jinfo_dc_dhuff_tbl_valptr.vhd p_jinfo_dc_xhuff_tbl_bits.vhd p_jinfo_dc_xhuff_tbl_huffval.vhd p_jinfo_quant_tbl_quantval.vhd quantbuff.vhd rgb_buf.vhd shl_211.vhd shr_212.vhd sub_205.vhd sub_206.vhd sub_207.vhd sub_208.vhd sub_217.vhd sub_218.vhd sub_219.vhd sub_220.vhd sub_221.vhd tb.vhd top.vhd zigzag_index.vhd 723s elaborate and simulate tb --stop-time=4us 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna bug042: failed 723s try to analyze centerconfig.vhdl 723s centerconfig.vhdl:58:45:error: range expression must be locally static 723s center_height(std_center_height'range) => std_center_height, 723s ^ 723s centerconfig.vhdl:62:43:error: range expression must be locally static 723s center_width(std_center_width'range) => std_center_width 723s ^ 723s analyze centerconfig_works.vhdl 723s elaborate and simulate instance 723s ./instance:error: NULL access dereferenced 723s ./instance:error: error during elaboration 723s 723s 723s gna issue375: failed 723s analyze cond_assign_proc.vhdl 723s elaborate and simulate cond_assign_proc 723s ./cond_assign_proc:error: cannot open file "STD_INPUT" 723s ./cond_assign_proc:error: error during elaboration 723s 723s 723s gna issue376: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s in process .repro(behav).P0 723s ./repro:error: simulation failed 723s 723s 723s gna issue382: failed 723s analyze demo.vhd 723s analyze tb_demo.vhd 723s elaborate and simulate tb_demo 723s ./tb_demo:error: NULL access dereferenced 723s ./tb_demo:error: error during elaboration 723s 723s 723s gna bug045: failed 723s analyze repro.vhdl 723s elaborate tb 723s simulate tb --fst=tb.fst ( tb --fst=tb.fst) 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna issue394: failed 723s analyze bug.vhdl 723s 723s 723s gna issue397: failed 723s analyze e.vhdl 723s elaborate and simulate bug 723s ./bug:error: NULL access dereferenced 723s in process .bug(a).main 723s ./bug:error: simulation failed 723s 723s 723s gna bug048: failed 723s analyze leftof1.vhdl 723s elaborate and simulate leftofrightof 723s leftof1.vhdl:11:9:@0ms:(report note): constant i = 1 723s leftof1.vhdl:12:9:@0ms:(report note): integer'leftof(i) = 0 723s leftof1.vhdl:18:9:@0ms:(report note): constant j = 1 723s leftof1.vhdl:19:9:@0ms:(report note): integer'rightof(j) = 2 723s analyze leftof2.vhdl 723s leftof2.vhdl:1:1:warning: entity "leftofrightof" was also defined in file "leftof1.vhdl" [-Wlibrary] 723s entity leftofrightof is 723s ^ 723s elaborate and simulate leftofrightof 723s ./leftofrightof:error: NULL access dereferenced 723s in process .leftofrightof(subclass_variable).P0 723s ./leftofrightof:error: simulation failed 723s 723s 723s gna issue400: failed 723s analyze e.vhdl 723s elaborate and simulate e 723s e.vhdl:15:3:warning: instance "i1" of component "bb1" is not bound [-Wbinding] 723s i1: component bb1 port map( v.f(s) => s1 ); 723s ^ 723s e.vhdl:2:14:warning: (in default configuration of e(a)) 723s e.vhdl:16:3:warning: instance "i2" of component "bb2" is not bound [-Wbinding] 723s i2: component bb2 port map( s => v.f(s2) ); 723s ^ 723s e.vhdl:2:14:warning: (in default configuration of e(a)) 723s ./e:error: NULL access dereferenced 723s ./e:error: error during elaboration 723s 723s 723s gna bug049: failed 723s analyze rng1.vhdl 723s elaborate and simulate sliding_index 723s ./sliding_index:error: cannot open file "STD_INPUT" 723s ./sliding_index:error: error during elaboration 723s 723s 723s gna bug05: failed 723s analyze repro.vhdl 723s elaborate tb 723s simulate tb --fst=tb.fst ( tb --fst=tb.fst) 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna issue406: failed 723s analyze --work=libvhdl queuep.vhdl 723s analyze queuet.vhdl 723s elaborate and simulate queuet 723s ./queuet:error: cannot open file "STD_INPUT" 723s ./queuet:error: error during elaboration 723s 723s 723s gna issue418: failed 723s analyze repro.vhdl 723s elaborate repro 723s simulate repro --wave=repro.ghw ( repro --wave=repro.ghw) 723s ./repro:error: cannot open file "STD_INPUT" 723s ./repro:error: error during elaboration 723s 723s 723s gna issue424: failed 723s analyze subBlock.vhd 723s analyze testCaseGood.vhd 723s elaborate and simulate testcasegood 723s ./testcasegood:error: NULL access dereferenced 723s ./testcasegood:error: error during elaboration 723s 723s 723s gna issue43: failed 723s analyze repro2.vhdl 723s elaborate and simulate test_tb 723s ./test_tb:error: NULL access dereferenced 723s ./test_tb:error: error during elaboration 723s 723s 723s gna issue44: failed 723s analyze loopfilter.vhdl 723s analyze dffregister.vhdl 723s elaborate and simulate loopfilter 723s loopfilter.vhdl:29:3:warning: instance "M0" of component "q_one_dot_fp_multiplier" is not bound [-Wbinding] 723s M0: q_one_dot_fp_multiplier generic map(a_word_size => beta'length, b_word_size => mult_error_op'length) 723s ^ 723s loopfilter.vhdl:13:14:warning: (in default configuration of loopfilter(loopfilter_arch)) 723s loopfilter.vhdl:31:3:warning: instance "M1" of component "q_one_dot_fp_multiplier" is not bound [-Wbinding] 723s M1: q_one_dot_fp_multiplier generic map(a_word_size => alpha'length, b_word_size => mult_error_op'length) 723s ^ 723s loopfilter.vhdl:13:14:warning: (in default configuration of loopfilter(loopfilter_arch)) 723s ./loopfilter:error: NULL access dereferenced 723s ./loopfilter:error: error during elaboration 723s 723s 723s gna bug06: failed 723s analyze repro.vhdl 723s elaborate and simulate foo 723s ./foo:error: NULL access dereferenced 723s ./foo:error: error during elaboration 723s 723s 723s gna issue45: failed 723s analyze test1.vhdl 723s elaborate psl_test_endpoint 723s analyze test2.vhdl 723s elaborate and simulate psl_test2_endpoint --assert-level=error --expect-failure 723s ./psl_test2_endpoint:error: cannot open file "STD_INPUT" 723s ./psl_test2_endpoint:error: error during elaboration 723s try to analyze endpoint_eval_err.vhdl 723s endpoint_eval_err.vhdl:56:14:error: can't match "E_TEST0" with type physical type "time" 723s wait for E_TEST0; 723s ^ 723s analyze endpoint_eval.vhdl 723s elaborate and simulate psl_endpoint_eval_in_vhdl 723s ./psl_endpoint_eval_in_vhdl:error: cannot open file "STD_INPUT" 723s ./psl_endpoint_eval_in_vhdl:error: error during elaboration 723s 723s 723s gna issue450: failed 723s analyze disptree.vhdl 723s elaborate disptree 723s /usr/bin/gcc 723s /usr/bin/gcc -c vpi2.c -I/usr/lib/ghdl/include/ghdl -fPIC 723s vpi2.c: In function ‘my_handle_register’: 723s vpi2.c:89:13: warning: assignment to ‘PLI_INT32 (*)(struct t_cb_data *)’ {aka ‘int (*)(struct t_cb_data *)’} from incompatible pointer type ‘void (*)()’ [-Wincompatible-pointer-types] 723s 89 | cb.cb_rtn = &printModules; 723s | ^ 723s /usr/bin/gcc -o vpi2.vpi vpi2.o --shared -L/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/usr/lib/ghdl/llvm 723s simulate disptree --vpi=./vpi2.vpi ( disptree --vpi=./vpi2.vpi) 723s loading VPI module './vpi2.vpi' 723s VPI module loaded! 723s ./disptree:error: NULL access dereferenced 723s ./disptree:error: error during elaboration 723s 1,18c1,2 723s < got to here 723s < got to here1 723s < Module disptree: 723s < Full module name (vpiFullName): disptree 723s < Simple module name (vpiName): disptree 723s < net clk 723s < net a 723s < net b 723s < Full module name (vpiFullName): disptree.gen_for(1) 723s < Simple module name (vpiName): gen_for(1) 723s < Full module name (vpiFullName): disptree.gen_for(2) 723s < Simple module name (vpiName): gen_for(2) 723s < Full module name (vpiFullName): disptree.gen_for(3) 723s < Simple module name (vpiName): gen_for(3) 723s < Full module name (vpiFullName): disptree.gen_for(4) 723s < Simple module name (vpiName): gen_for(4) 723s < Full module name (vpiFullName): disptree.gen_if 723s < Simple module name (vpiName): gen_if 723s --- 723s > ./disptree:error: NULL access dereferenced 723s > ./disptree:error: error during elaboration 723s 723s 723s gna bug060: failed 723s analyze corelib_List.v08.vhdl 723s corelib_List.v08.vhdl:110:39:warning: declaration of "Size" hides function "Size" [-Whide] 723s procedure CheckResize(Size : positive) is 723s ^ 723s corelib_List.v08.vhdl:126:37:warning: declaration of "j" hides variable "j" [-Whide] 723s for j in 0 to I_MasterList_Count - 1 loop 723s ^ 723s corelib_List.v08.vhdl:134:29:warning: declaration of "j" hides variable "j" [-Whide] 723s for j in I_MasterList_Count to I_MasterList_Count + New_Chunks - 1 loop 723s ^ 723s corelib_List.v08.vhdl:337:93:warning: declaration of "COUNT" hides function "Count" [-Whide] 723s impure function ToArray(Start : INTEGER := 0; Stop : INTEGER := -1; COUNT : NATURAL := 0) return ELEMENT_ARRAY is 723s ^ 723s analyze corelib.v08.vhdl 723s analyze Integer_List_tb.vhdl 723s elaborate and simulate integer_list_tb 723s ./integer_list_tb:error: cannot open file "STD_INPUT" 723s ./integer_list_tb:error: error during elaboration 723s 723s 723s gna issue451: failed 723s analyze e.vhdl 723s elaborate and simulate e 723s ./e:error: NULL access dereferenced 723s ./e:error: error during elaboration 723s 723s 723s gna bug061: failed 723s analyze dictp.vhdl 723s analyze dictp08.vhdl 723s dictp08.vhdl:1:1:warning: package "corelib_dict" was also defined in file "dictp.vhdl" [-Wlibrary] 723s library ieee; 723s ^ 723s analyze -g datastructure.vhdl 723s analyze -g test_dict.vhdl 723s elaborate and simulate test_dict 723s ./test_dict:error: cannot open file "STD_INPUT" 723s ./test_dict:error: error during elaboration 723s 723s 723s gna bug065: failed 723s analyze repro.vhdl 723s elaborate and simulate e 723s ./e:error: cannot open file "STD_INPUT" 723s ./e:error: error during elaboration 723s 723s 723s gna issue461: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna bug07: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue465: failed 723s analyze e.vhdl 723s elaborate and simulate e 723s ./e:error: NULL access dereferenced 723s ./e:error: error during elaboration 723s 723s 723s gna issue467: failed 723s analyze eu_tb.vhdl 723s elaborate and simulate eu_tb 723s ./eu_tb:error: NULL access dereferenced 723s ./eu_tb:error: error during elaboration 723s 723s 723s gna bug073: failed 723s analyze adder.vhdl 723s analyze adder_tb.vhdl 723s elaborate and simulate adder_tb 723s ./adder_tb:error: NULL access dereferenced 723s in process .adder_tb(behav).P0 723s ./adder_tb:error: error during elaboration 723s 723s 723s gna bug074: failed 723s analyze repro.vhdl 723s elaborate and simulate repro --stop-time=1us 723s ./repro:error: NULL access dereferenced 723s in process .repro(behav).P0 723s ./repro:error: simulation failed 723s 723s 723s gna issue473: failed 723s analyze tbmem.vhdl 723s elaborate and simulate tbmem 723s ./tbmem:error: cannot open file "STD_INPUT" 723s ./tbmem:error: error during elaboration 723s 723s 723s gna bug077: failed 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: NULL access dereferenced 723s ./repro2:error: error during elaboration 723s 723s 723s gna bug078: failed 723s analyze half_adder.vhdl 723s elaborate and simulate different 723s ./different:error: NULL access dereferenced 723s ./different:error: error during elaboration 723s 723s 723s gna bug079: failed 723s analyze repro1.vhdl 723s repro1.vhdl:10:21:warning: declaration of "var" hides variable "var" [-Whide] 723s procedure proc (var : natural) is 723s ^ 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s in process .repro1(behav).P0 723s ./repro1:error: simulation failed 723s 723s 723s gna issue476: failed 723s analyze pixel_pkg.vhd pixel_column_pkg.vhd pixel_matrix_pkg.vhd test_op.vhd 723s elaborate and simulate test_op 723s ./test_op:error: cannot open file "STD_INPUT" 723s ./test_op:error: error during elaboration 723s 723s 723s gna bug08: failed 723s analyze paren1.vhdl 723s elaborate and simulate paren1 723s analyze paren2.vhdl 723s elaborate and simulate paren2 723s analyze paren3.vhdl 723s elaborate and simulate paren3 723s analyze paren4.vhdl 723s elaborate and simulate paren4 723s analyze paren5.vhdl 723s elaborate and simulate paren5 723s ./paren5:error: NULL access dereferenced 723s in process .paren5(behav).P0 723s ./paren5:error: simulation failed 723s 723s 723s gna bug083: failed 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: NULL access dereferenced 723s in process .repro2(behav).P0 723s ./repro2:error: error during elaboration 723s 723s 723s gna bug084: failed 723s analyze func_test3.vhdl 723s elaborate and simulate func_test3 723s ./func_test3:error: NULL access dereferenced 723s in process .func_test3(fum).P0 723s ./func_test3:error: simulation failed 723s 723s 723s gna bug086: failed 723s analyze sig.vhdl 723s elaborate and simulate sig 723s ./sig:error: NULL access dereferenced 723s in process .sig(behav).b.P0 723s ./sig:error: simulation failed 723s 723s 723s gna bug09: failed 723s analyze univ1.vhdl 723s elaborate and simulate (failure expected) univ1 723s ./univ1:error: NULL access dereferenced 723s in process .univ1(foo).P0 723s analyze univ2.vhdl 723s elaborate and simulate univ2 723s ./univ2:error: NULL access dereferenced 723s in process .univ2(foo).P0 723s ./univ2:error: simulation failed 723s 723s 723s gna bug094: failed 723s analyze pkga.vhdl 723s analyze enta.vhdl 723s analyze topa.vhdl 723s elaborate and simulate topa 723s ./topa:error: NULL access dereferenced 723s ./topa:error: error during elaboration 723s 723s 723s gna bug097: failed 723s /usr/bin/gcc 723s analyze tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna bug15638: failed 723s analyze 15368.vhd 723s elaborate and simulate bug 723s ./bug:error: NULL access dereferenced 723s ./bug:error: error during elaboration 723s 723s 723s gna bug15966: failed 723s analyze fail.vhd 723s elaborate and simulate mat_key_tb 723s ./mat_key_tb:error: NULL access dereferenced 723s ./mat_key_tb:error: error during elaboration 723s 723s 723s gna bug15993: failed 723s analyze cells.vhdl 723s analyze testbench_15993.vhdl 723s testbench_15993.vhdl:31:18:warning: declaration of "s" hides signal "s" [-Whide] 723s variable s : hexstring := (others => ' '); 723s ^ 723s elaborate and simulate add_tb 723s ./add_tb:error: NULL access dereferenced 723s ./add_tb:error: error during elaboration 723s 723s 723s gna bug16287: failed 723s analyze 16287.vhd 723s elaborate and simulate test 723s ./test:error: NULL access dereferenced 723s ./test:error: error during elaboration 723s 723s 723s gna bug16695: failed 723s analyze --ieee=synopsys lfsr_updown.vhd lfsr_updown_tb.vhd 723s elaborate and simulate --ieee=synopsys lfsr_updown_tb --stop-time=200ns 723s ./lfsr_updown_tb:error: cannot open file "STD_INPUT" 723s ./lfsr_updown_tb:error: error during elaboration 723s 723s 723s gna bug17127: failed 723s analyze bug2.vhdl 723s elaborate and simulate bug2 723s ./bug2:error: NULL access dereferenced 723s ./bug2:error: error during elaboration 723s 723s 723s gna bug17203: failed 723s analyze bug.vhdl 723s elaborate and simulate test_time 723s ./test_time:error: cannot open file "STD_INPUT" 723s ./test_time:error: error during elaboration 723s 723s 723s gna bug17309: failed 723s analyze polyamplib.vhdl master_testbench3.vhdl 723s elaborate and simulate master_testbench3 --stop-time=1ms 723s ./master_testbench3:error: NULL access dereferenced 723s ./master_testbench3:error: error during elaboration 723s 723s 723s gna bug17545: failed 723s analyze bug.vhdl 723s elaborate and simulate bug 723s ./bug:error: cannot open file "STD_INPUT" 723s ./bug:error: error during elaboration 723s 723s 723s gna bug17759: failed 723s analyze test.vhdl 723s elaborate and simulate a 723s ./a:error: NULL access dereferenced 723s ./a:error: error during elaboration 723s 723s 723s gna bug18280: failed 723s analyze alias_bug.vhd 723s elaborate and simulate alias_bug 723s ./alias_bug:error: NULL access dereferenced 723s ./alias_bug:error: error during elaboration 723s 723s 723s gna bug18351: failed 723s analyze 18351.vhd 723s elaborate and simulate problem 723s ./problem:error: NULL access dereferenced 723s in process .problem(bug).eval 723s ./problem:error: simulation failed 723s 723s 723s gna bug18359: failed 723s analyze testcase.vhdl 723s elaborate and simulate testcase 723s testcase.vhdl:17:7:@0ms:(report note): Count: 1 723s testcase.vhdl:17:7:@0ms:(report note): Count: 1 723s testcase.vhdl:17:7:@0ms:(report note): Count: 1 723s testcase.vhdl:17:7:@0ms:(report note): Count: 1 723s ./testcase:error: NULL access dereferenced 723s in process .testcase(non_conformity).stuff 723s ./testcase:error: simulation failed 723s 723s 723s gna issue50: failed 723s analyze vector.d/pkg_tb.vhd 723s analyze vector.d/add_118.vhd 723s analyze vector.d/add_134.vhd 723s analyze vector.d/add_170.vhd 723s analyze vector.d/add_171.vhd 723s analyze vector.d/add_188.vhd 723s analyze vector.d/add_214.vhd 723s analyze vector.d/add_220.vhd 723s analyze vector.d/assert_uut.vhd 723s analyze vector.d/cmp_111.vhd 723s analyze vector.d/cmp_112.vhd 723s analyze vector.d/cmp_113.vhd 723s analyze vector.d/cmp_114.vhd 723s analyze vector.d/cmp_115.vhd 723s analyze vector.d/cmp_119.vhd 723s analyze vector.d/cmp_126.vhd 723s analyze vector.d/cmp_127.vhd 723s analyze vector.d/cmp_128.vhd 723s analyze vector.d/cmp_129.vhd 723s analyze vector.d/cmp_130.vhd 723s analyze vector.d/cmp_131.vhd 723s analyze vector.d/cmp_132.vhd 723s analyze vector.d/cmp_133.vhd 723s analyze vector.d/cmp_135.vhd 723s analyze vector.d/cmp_136.vhd 723s analyze vector.d/cmp_137.vhd 723s analyze vector.d/cmp_138.vhd 723s analyze vector.d/cmp_139.vhd 723s analyze vector.d/cmp_140.vhd 723s analyze vector.d/cmp_141.vhd 723s analyze vector.d/cmp_142.vhd 723s analyze vector.d/cmp_146.vhd 723s analyze vector.d/cmp_148.vhd 723s analyze vector.d/cmp_150.vhd 723s analyze vector.d/cmp_152.vhd 723s analyze vector.d/cmp_154.vhd 723s analyze vector.d/cmp_156.vhd 723s analyze vector.d/cmp_158.vhd 723s analyze vector.d/cmp_160.vhd 723s analyze vector.d/cmp_174.vhd 723s analyze vector.d/cmp_176.vhd 723s analyze vector.d/cmp_178.vhd 723s analyze vector.d/cmp_180.vhd 723s analyze vector.d/cmp_183.vhd 723s analyze vector.d/cmp_185.vhd 723s analyze vector.d/cmp_187.vhd 723s analyze vector.d/cmp_191.vhd 723s analyze vector.d/cmp_193.vhd 723s analyze vector.d/cmp_195.vhd 723s analyze vector.d/cmp_198.vhd 723s analyze vector.d/cmp_200.vhd 723s analyze vector.d/cmp_202.vhd 723s analyze vector.d/cmp_204.vhd 723s analyze vector.d/cmp_215.vhd 723s analyze vector.d/cmp_216.vhd 723s analyze vector.d/cmp_217.vhd 723s analyze vector.d/cmp_218.vhd 723s analyze vector.d/cmp_221.vhd 723s analyze vector.d/fsm.vhd 723s analyze vector.d/fsm_15.vhd 723s analyze vector.d/muxb_117.vhd 723s analyze vector.d/muxb_120.vhd 723s analyze vector.d/muxb_121.vhd 723s analyze vector.d/muxb_123.vhd 723s analyze vector.d/muxb_124.vhd 723s analyze vector.d/muxb_219.vhd 723s analyze vector.d/prog.vhd 723s analyze vector.d/sub_125.vhd 723s analyze vector.d/sub_145.vhd 723s analyze vector.d/sub_189.vhd 723s analyze vector.d/sync_ram.vhd 723s analyze vector.d/v_split0.vhd 723s analyze vector.d/v_split1.vhd 723s analyze vector.d/v_split2.vhd 723s analyze vector.d/v_split3.vhd 723s analyze vector.d/v_split4.vhd 723s analyze vector.d/v_split5.vhd 723s analyze vector.d/v_split6.vhd 723s analyze vector.d/v_split7.vhd 723s analyze vector.d/w_split0.vhd 723s analyze vector.d/w_split1.vhd 723s analyze vector.d/w_split2.vhd 723s analyze vector.d/w_split3.vhd 723s analyze vector.d/w_split4.vhd 723s analyze vector.d/w_split5.vhd 723s analyze vector.d/w_split6.vhd 723s analyze vector.d/w_split7.vhd 723s analyze vector.d/top.vhd 723s analyze vector.d/cp3_test.vhd 723s analyze vector.d/tb.vhd 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna bug18810: failed 723s analyze DMEM.vhd OISC_SUBLEQ.vhd BENCH_OISC_SUBLEQ.vhd 723s elaborate and simulate BENCH_OISC_SUBLEQ --stop-time=2us 723s ./bench_oisc_subleq:error: NULL access dereferenced 723s ./bench_oisc_subleq:error: error during elaboration 723s 723s 723s gna issue529: failed 723s analyze impure1.vhdl 723s elaborate and simulate impure_ex 723s ./impure_ex:error: cannot open file "STD_INPUT" 723s ./impure_ex:error: error during elaboration 723s 723s 723s gna bug20312: failed 723s analyze repro.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna issue530: failed 723s analyze sample_slice_ports.vhdl 723s analyze repro2.vhdl 723s repro2.vhdl:1:1:warning: entity "submodule" was also defined in file "sample_slice_ports.vhdl" [-Wlibrary] 723s library ieee; 723s ^ 723s repro2.vhdl:17:1:warning: entity "sliced_ex" was also defined in file "sample_slice_ports.vhdl" [-Wlibrary] 723s library ieee; 723s ^ 723s elaborate and simulate sliced_ex 723s ./sliced_ex:error: NULL access dereferenced 723s ./sliced_ex:error: error during elaboration 723s 723s 723s gna bug20549: failed 723s analyze tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna issue543: failed 723s analyze ent.vhdl 723s elaborate and simulate ent 723s ent.vhdl:7:3:@0ms:(assertion note): const'att(0) is 2 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s ./repro1:error: error during elaboration 723s 723s 723s gna bug21487: failed 723s analyze repro.vhdl 723s elaborate and simulate top 723s ./top:error: cannot open file "STD_INPUT" 723s ./top:error: error during elaboration 723s 723s 723s gna issue547: failed 723s analyze ghdl-bug.vhdl 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: cannot open file "STD_INPUT" 723s ./repro:error: error during elaboration 723s 723s 723s gna bug21497: failed 723s analyze repro.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s in process .tb(behav).P0 723s ./tb:error: error during elaboration 723s 723s 723s gna issue552: failed 723s analyze strings_test.vhdl 723s strings_test.vhdl:23:93:warning: prefix of array attribute must be an object name [-Wattribute] 723s assert false report "tic low of type'image : i =" & integer'image(T_TYPE'image(string2)'low) severity note; 723s ^ 723s elaborate and simulate strings_test 723s ./strings_test:error: NULL access dereferenced 723s ./strings_test:error: error during elaboration 723s 723s 723s gna bug21500: failed 723s analyze resolv1.vhdl 723s elaborate and simulate top 723s ./top:error: NULL access dereferenced 723s ./top:error: error during elaboration 723s 723s 723s gna bug23165: failed 723s analyze mwe_failing/counter.vhd 723s analyze mwe_failing/mwe.vhd 723s elaborate and simulate mwe --stop-time=100us 723s ./mwe:error: NULL access dereferenced 723s ./mwe:error: error during elaboration 723s 723s 723s gna issue561: failed 723s analyze wishbone_pkg-2008.vhd 723s analyze wb_demux.vhd 723s analyze wb_demux_tb.vhd 723s elaborate wb_demux_tb 723s simulate wb_demux_tb --wave=w.ghw ( wb_demux_tb --wave=w.ghw) 723s ./wb_demux_tb:error: cannot open file "STD_INPUT" 723s ./wb_demux_tb:error: error during elaboration 723s 723s 723s gna issue584: failed 723s analyze LinkedListPkg.vhd 723s analyze Test_LinkedListPkg.vhd 723s elaborate and simulate Test_LinkedListPkg 723s ./test_linkedlistpkg:error: cannot open file "STD_INPUT" 723s ./test_linkedlistpkg:error: error during elaboration 723s 723s 723s gna bug24064: failed 723s analyze er_pack.vhd 723s er_pack.vhd:344:19:warning: reference to file "output" violate pure rule for function "print_message" [-Wpure] 723s writeline(output, out_line); 723s ^ 723s analyze pp_fir_filter.vhd 723s elaborate and simulate pp_fir_filter 723s ./pp_fir_filter:error: NULL access dereferenced 723s ./pp_fir_filter:error: error during elaboration 723s 723s 723s gna issue598: failed 723s analyze tb.vhd 723s elaborate and simulate tb 723s ./tb:error: cannot open file "STD_INPUT" 723s ./tb:error: error during elaboration 723s 723s 723s gna issue606: failed 723s analyze repro1a.vhdl repro1b.vhdl 723s elaborate and simulate repro1a 723s ./repro1a:error: NULL access dereferenced 723s in process .repro1a(behav).P0 723s ./repro1a:error: error during elaboration 723s 723s 723s gna issue610: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s ./repro1:error: error during elaboration 723s 723s 723s gna bug7751: failed 723s analyze 7751_tests.vhd 723s 7751_tests.vhd:58:19:warning: declaration of "t" hides signal "t" [-Whide] 723s function t_img (t : time) return string is 723s ^ 723s elaborate and simulate top 723s ./top:error: NULL access dereferenced 723s ./top:error: error during elaboration 723s 723s 723s gna issue615: failed 723s analyze repr.vhdl 723s elaborate repr 723s simulate repr --wave=repr.ghw ( repr --wave=repr.ghw) 723s ./repr:error: cannot open file "STD_INPUT" 723s ./repr:error: error during elaboration 723s 723s 723s gna issue616: failed 723s analyze mwe.vhdl 723s elaborate and simulate mwe 723s ./mwe:error: NULL access dereferenced 723s in process .mwe(sim).p_check_requests 723s ./mwe:error: simulation failed 723s 723s 723s gna issue10: failed 723s analyze --std=93 test_id.vhdl 723s elaborate and simulate --std=93 test_id 723s ./test_id:error: NULL access dereferenced 723s ./test_id:error: error during elaboration 723s 723s 723s gna issue620: failed 723s analyze type_declaration_pkg.vhd 723s analyze type_user_pkg.vhd 723s analyze test_tb.vhd 723s elaborate and simulate test_tb 723s ./test_tb:error: cannot open file "STD_INPUT" 723s ./test_tb:error: error during elaboration 723s 723s 723s gna issue1038: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: NULL access dereferenced 723s in process .repro2(behav).P0 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue626: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue1055: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s ./repro1:error: error during elaboration 723s 723s 723s gna issue106: failed 723s try to analyze ent.vhdl 723s ent.vhdl:11:14:error: condition must be a static expression 723s LL: if test=10 generate 723s ^ 723s ent.vhdl:12:13:error: condition must be a static expression 723s elsif test=5 generate 723s ^ 723s analyze ent1.vhdl 723s elaborate and simulate ent 723s ./ent:error: cannot open file "STD_INPUT" 723s ./ent:error: error during elaboration 723s 723s 723s gna issue1063: failed 723s analyze matching.vhdl 723s elaborate and simulate matching 723s ./matching:error: cannot open file "STD_INPUT" 723s ./matching:error: error during elaboration 723s 723s 723s gna issue1067: failed 723s analyze top.vhdl 723s elaborate top 723s simulate top --dump-rti ( top --dump-rti) 723s ./top:error: cannot open file "STD_INPUT" 723s ./top:error: error during elaboration 723s 723s 723s gna issue1120: failed 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: NULL access dereferenced 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue1123: failed 723s try to analyze b.vhdl 723s b.vhdl:30:14:error: interface of function must be a constant interface 723s x => to_vector(s) 723s ^ 723s analyze b1.vhdl 723s elaborate and simulate b 723s ./b:error: NULL access dereferenced 723s ./b:error: error during elaboration 723s 723s 723s gna issue1125: failed 723s analyze etest.vhdl 723s 723s 723s gna issue1128: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue1129: failed 723s analyze repro.vhdl 723s elaborate and simulate test 723s ./test:error: NULL access dereferenced 723s ./test:error: error during elaboration 723s 723s 723s gna issue1131: failed 723s analyze dut.vhdl 723s elaborate dut 723s simulate dut --dump-rti ( dut --dump-rti) 723s ./dut:error: cannot open file "STD_INPUT" 723s ./dut:error: error during elaboration 723s 723s 723s gna issue637: failed 723s analyze example.vhdl 723s elaborate and simulate example 723s ./example:error: NULL access dereferenced 723s in process .example(tb).P0 723s ./example:error: simulation failed 723s 723s 723s gna issue1137: failed 723s analyze testbench.vhdl 723s elaborate and simulate testbench 723s ./testbench:error: cannot open file "STD_INPUT" 723s ./testbench:error: error during elaboration 723s 723s 723s gna issue641: failed 723s analyze ent.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue1138: failed 723s try to analyze repro.vhdl 723s repro.vhdl:14:41:error: prefix of array attribute must be an object name 723s constant l : natural := get_bv (5).bv'length; 723s ^ 723s repro.vhdl:14:41:note: (you can use -frelaxed to turn this error into a warning) 723s constant l : natural := get_bv (5).bv'length; 723s ^ 723s analyze crc_pkg.vhdl 723s try to analyze wbcrc_syn.vhdl 723s wbcrc_syn.vhdl:37:91:error: prefix of array attribute must be an object name 723s tx_os_dat_o : out std_ulogic_vector( getCrc32Param( crcDefault_G , 8 ).poly'length - 1 downto 0 ); 723s ^ 723s wbcrc_syn.vhdl:37:91:note: (you can use -frelaxed to turn this error into a warning) 723s tx_os_dat_o : out std_ulogic_vector( getCrc32Param( crcDefault_G , 8 ).poly'length - 1 downto 0 ); 723s ^ 723s wbcrc_syn.vhdl:44:21:error: entity "wbCrc_syn" was not analysed 723s architecture rtl of wbCrc_syn is 723s ^ 723s analyze repro.vhdl 723s repro.vhdl:14:41:warning: prefix of array attribute must be an object name [-Wattribute] 723s constant l : natural := get_bv (5).bv'length; 723s ^ 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue642: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s in process .repro(a).P0 723s ./repro:error: error during elaboration 723s 723s 723s gna issue643: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue1145: failed 723s analyze tb.vhdl 723s elaborate and simulate tb_ghdl_test 723s ./tb_ghdl_test:error: cannot open file "STD_INPUT" 723s ./tb_ghdl_test:error: error during elaboration 723s 723s 723s gna issue645: failed 723s analyze foo.vhdl 723s analyze tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: cannot open file "STD_INPUT" 723s ./tb:error: error during elaboration 723s 723s 723s gna issue646: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue648: failed 723s analyze ice.vhdl 723s elaborate and simulate e 723s ./e:error: NULL access dereferenced 723s ./e:error: error during elaboration 723s 723s 723s gna issue1191: failed 723s analyze mux_fifo_pkg.vhd mux_fifo.vhd mux_fifo_tb.vhd 723s elaborate and simulate mux_fifo_tb 723s ./mux_fifo_tb:error: cannot open file "STD_INPUT" 723s ./mux_fifo_tb:error: error during elaboration 723s 723s 723s gna issue1201: failed 723s analyze bug.vhdl 723s elaborate and simulate bug 723s ./bug:error: cannot open file "STD_INPUT" 723s ./bug:error: error during elaboration 723s 723s 723s gna issue660: failed 723s analyze testent.vhdl 723s elaborate and simulate test_core 723s ./test_core:error: NULL access dereferenced 723s ./test_core:error: error during elaboration 723s 723s 723s gna issue663: failed 723s analyze ent.vhdl 723s ent.vhdl:20:12:warning: declaration of "clock" hides process labeled "clock" [-Whide] 723s signal clock : in std_logic; 723s ^ 723s ent.vhdl:21:12:warning: declaration of "en" hides signal "en" [-Whide] 723s signal en : in std_logic; 723s ^ 723s ent.vhdl:22:12:warning: declaration of "start_event" hides signal "start_event" [-Whide] 723s signal start_event : in std_logic; 723s ^ 723s ent.vhdl:23:12:warning: declaration of "end_event" hides signal "end_event" [-Whide] 723s signal end_event : in std_logic; 723s ^ 723s ent.vhdl:24:12:warning: declaration of "expr" hides signal "expr" [-Whide] 723s signal expr : in std_logic_vector) is 723s ^ 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).check_stable_1 723s ./ent:error: simulation failed 723s 723s 723s gna issue1224: failed 723s analyze tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: cannot open file "STD_INPUT" 723s ./tb:error: error during elaboration 723s 723s 723s gna issue1226: failed 723s analyze adder.vhdl 723s elaborate adder 723s /usr/bin/gcc 723s /usr/bin/gcc -c vpi_plugin.c -I/usr/lib/ghdl/include/ghdl -fPIC 723s /usr/bin/gcc -o vpi_plugin.vpi vpi_plugin.o --shared -L/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/usr/lib/ghdl/llvm 723s simulate adder --vpi=./vpi_plugin.vpi ( adder --vpi=./vpi_plugin.vpi) 723s loading VPI module './vpi_plugin.vpi' 723s VPI module loaded! 723s ./adder:error: NULL access dereferenced 723s ./adder:error: error during elaboration 723s 723s 723s gna issue664: failed 723s analyze tb_pkg.vhdl 723s analyze numeric_system_pkg.vhdl 723s analyze reset_synchronizer.vhdl 723s analyze quire_accumulator.vhdl 723s analyze quire_accumulator_tb.vhdl 723s elaborate quire_accumulator_tb 723s simulate quire_accumulator_tb ( quire_accumulator_tb) 723s ./quire_accumulator_tb:error: cannot open file "STD_INPUT" 723s ./quire_accumulator_tb:error: error during elaboration 723s 723s 723s gna issue1228: failed 723s analyze test_load.vhdl 723s elaborate test_load 723s /usr/bin/gcc 723s /usr/bin/gcc -c vpi1.c -I/usr/lib/ghdl/include/ghdl -fPIC 723s /usr/bin/gcc -o vpi1.vpi vpi1.o --shared -L/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/usr/lib/ghdl/llvm 723s simulate test_load --vpi=./vpi1.vpi ( test_load --vpi=./vpi1.vpi) 723s loading VPI module './vpi1.vpi' 723s VPI module loaded! 723s ./test_load:error: NULL access dereferenced 723s in process .test_load(rtl).P1 723s ./test_load:error: error during elaboration 723s 723s 723s gna issue123: failed 723s analyze adder.vhdl 723s adder.vhdl:27:13:warning: declaration of "ADDER" hides entity "ADDER" [-Whide] 723s component ADDER is 723s ^ 723s elaborate and simulate csac 723s ./csac:error: NULL access dereferenced 723s ./csac:error: error during elaboration 723s 723s 723s gna issue668: failed 723s analyze wb_demux_tb.vhdl 723s elaborate wb_demux_tb 723s elaborate and simulate wb_demux_tb --dump-rti 723s ./wb_demux_tb:error: cannot open file "STD_INPUT" 723s ./wb_demux_tb:error: error during elaboration 723s 723s 723s gna issue1233: failed 723s analyze adder.vhdl 723s elaborate adder 723s /usr/bin/gcc 723s /usr/bin/gcc -c vpi_plugin.c -I/usr/lib/ghdl/include/ghdl -fPIC 723s /usr/bin/gcc -o vpi_plugin.vpi vpi_plugin.o --shared -L/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/usr/lib/ghdl/llvm 723s simulate adder --vpi=./vpi_plugin.vpi ( adder --vpi=./vpi_plugin.vpi) 723s loading VPI module './vpi_plugin.vpi' 723s VPI module loaded! 723s ./adder:error: NULL access dereferenced 723s ./adder:error: error during elaboration 723s 723s 723s gna issue672: failed 723s analyze SQR.vhd SQRTb.vhd 723s SQRTb.vhd:43:14:warning: declaration of "clock" hides signal "clock" [-Whide] 723s SIGNAL clock : IN STD_LOGIC; 723s ^ 723s SQRTb.vhd:45:14:warning: declaration of "vOut" hides signal "vOut" [-Whide] 723s SIGNAL vOut : IN STD_LOGIC; 723s ^ 723s SQRTb.vhd:46:14:warning: declaration of "vIn" hides signal "vIn" [-Whide] 723s SIGNAL vIn : OUT STD_LOGIC) IS 723s ^ 723s elaborate sqrtb 723s simulate sqrtb --stop-time=1us ( sqrtb --stop-time=1us) 723s ./sqrtb:error: NULL access dereferenced 723s ./sqrtb:error: error during elaboration 723s 723s 723s gna issue1246: failed 723s analyze pkg_b.vhdl pkg_a.vhdl tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s in process .tb(arch).P0 723s ./tb:error: simulation failed 723s 723s 723s gna issue676: failed 723s analyze adder.vhdl 723s elaborate and simulate adder 723s ./adder:error: cannot open file "STD_INPUT" 723s ./adder:error: error during elaboration 723s 723s 723s gna issue125: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).P0 723s ./ent:error: simulation failed 723s 723s 723s gna issue685: failed 723s analyze tb.vhdl 723s elaborate wb_demux_tb 723s simulate wb_demux_tb --trace-signals ( wb_demux_tb --trace-signals) 723s 1,2c1,15 723s < ./wb_demux_tb:error: open file "STD_INPUT" 723s < ./wb_demux_tb:error: during elaboration 723s --- 723s > .wb_demux_tb(bench).s.dat(1) e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' 723s > .wb_demux_tb(bench).s.dat(0) e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' 723s > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' 723s > .wb_demux_tb(bench).s.dat(1) e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' 723s > .wb_demux_tb(bench).s.dat(0) e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' 723s > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' 723s > .wb_demux_tb(bench).s.dat(1) e8 -AE---- last_event=1ns last_active=1ns val='1'; drv='1' 723s > .wb_demux_tb(bench).s.dat(0) e8 -AE---- last_event=1ns last_active=1ns val='1'; drv='1' 723s > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' 723s > .wb_demux_tb(bench).s.dat(1) e8 ------- last_event=1ns last_active=1ns val='1'; drv='1' 723s > .wb_demux_tb(bench).s.dat(0) e8 ------- last_event=1ns last_active=1ns val='1'; drv='1' 723s > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' 723s > .wb_demux_tb(bench).s.dat(1) e8 -AE---- last_event=2ns last_active=2ns val='0'; drv='0' 723s > .wb_demux_tb(bench).s.dat(0) e8 -AE---- last_event=2ns last_active=2ns val='0'; drv='0' 723s > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' 723s 723s 723s gna issue1256: failed 723s analyze enum_test.vhdl 723s elaborate enum_test 723s /usr/bin/gcc 723s /usr/bin/gcc -c vpi_plugin.c -I/usr/lib/ghdl/include/ghdl -fPIC 723s /usr/bin/gcc -o vpi_plugin.vpi vpi_plugin.o --shared -L/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/usr/lib/ghdl/llvm 723s simulate enum_test --vpi=./vpi_plugin.vpi ( enum_test --vpi=./vpi_plugin.vpi) 723s loading VPI module './vpi_plugin.vpi' 723s VPI module loaded! 723s 723s 723s gna issue687: failed 723s analyze ent.vhdl 723s missing bound check failure 723s 723s 723s gna issue1257: failed 723s try to analyze -Werror=runtime-error repro1.vhdl 723s repro1.vhdl:11:13:error: value constraints don't match target ones 723s left <= right; 723s ^ 723s analyze repro2.vhdl 723s elaborate and simulate (failure expected) repro2 723s ./repro2:error: NULL access dereferenced 723s in process .repro2(behav).P0 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue689: failed 723s analyze example.vhdl 723s elaborate and simulate tb_example 723s ./tb_example:error: cannot open file "STD_INPUT" 723s ./tb_example:error: error during elaboration 723s 723s 723s gna issue69: failed 723s analyze --workdir=mylib/v93 --work=mylib mylib.vhdl 723s analyze mytest.vhdl 723s elaborate and simulate mytest 723s ./mytest:error: NULL access dereferenced 723s ./mytest:error: error during elaboration 723s 723s 723s gna issue1262: failed 723s analyze pkg_slv.vhdl 723s analyze ent.vhdl 723s analyze tb2.vhdl 723s elaborate and simulate tb2 723s ./tb2:error: cannot open file "STD_INPUT" 723s ./tb2:error: error during elaboration 723s 723s 723s gna issue1268: failed 723s analyze mwe_pkg.vhd ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s ./ent:error: error during elaboration 723s 723s 723s gna issue694: failed 723s analyze mixer_pkg.vhdl mixer.vhdl mixer_tb.vhdl 723s elaborate and simulate mixer_tb 723s ./mixer_tb:error: cannot open file "STD_INPUT" 723s ./mixer_tb:error: error during elaboration 723s 723s 723s gna issue697: failed 723s try to analyze test.vhdl 723s test.vhdl:22:25:error: cannot refer a declaration in an uninstantiated package 723s signal a: work.a.t; 723s ^ 723s analyze test2.vhdl 723s elaborate and simulate test2 723s ./test2:error: cannot open file "STD_INPUT" 723s ./test2:error: error during elaboration 723s 723s 723s gna issue1292: failed 723s analyze psl_next_event_e.vhdl 723s elaborate and simulate psl_next_event_e 723s ./psl_next_event_e:error: cannot open file "STD_INPUT" 723s ./psl_next_event_e:error: error during elaboration 723s 723s 723s gna issue1295: failed 723s analyze psl_next_event_a.vhdl 723s elaborate and simulate psl_next_event_a 723s ./psl_next_event_a:error: cannot open file "STD_INPUT" 723s ./psl_next_event_a:error: error during elaboration 723s 723s 723s gna issue1300: failed 723s analyze wishbone_types.vhdl icache.vhdl 723s elaborate and simulate icache 723s ./icache:error: NULL access dereferenced 723s ./icache:error: error during elaboration 723s 723s 723s gna issue710: failed 723s analyze ent1.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).main 723s ./ent:error: simulation failed 723s 723s 723s gna issue713: failed 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: NULL access dereferenced 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue715: failed 723s analyze LRAM.vhdl 723s LRAM.vhdl:34:26:warning: declaration of "fName" hides generic "fName" [-Whide] 723s IMPURE FUNCTION Init (fName : STRING) RETURN LocalRAMDesc IS 723s ^ 723s elaborate and simulate LRAM --max-stack-alloc=0 723s ./lram:error: cannot open file "STD_INPUT" 723s ./lram:error: error during elaboration 723s 723s 723s gna issue1323: failed 723s analyze mydesign.vhdl 723s mydesign.vhdl:146:7:warning: declaration of "instance_three" hides component instance "instance_three" [-Whide] 723s instance_three : entity work.mysubentity 723s ^ 723s elaborate myentity 723s elaborate and simulate myentity --wave=dump.ghw 723s ./myentity:error: NULL access dereferenced 723s ./myentity:error: error during elaboration 723s cannot open ghw file dump.ghw 723s 723s 723s gna issue718: failed 723s analyze bug_repro.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue1338: failed 723s analyze repro.vhdl 723s elaborate and simulate repro --backtrace-severity=warning 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue73: failed 723s analyze x.vhdl 723s elaborate and simulate test 723s ./test:error: NULL access dereferenced 723s in process .test(test).p 723s ./test:error: simulation failed 723s 723s 723s gna issue1347: failed 723s analyze issue.vhdl 723s elaborate and simulate test_issue 723s ./test_issue:error: cannot open file "STD_INPUT" 723s ./test_issue:error: error during elaboration 723s 723s 723s gna issue731: failed 723s analyze adder.vhdl tbadder.vhdl 723s elaborate and simulate tbadder 723s ./tbadder:error: cannot open file "STD_INPUT" 723s ./tbadder:error: error during elaboration 723s 723s 723s gna issue1354: failed 723s analyze mwe.vhdl 723s elaborate and simulate mwe 723s ./mwe:error: cannot open file "STD_INPUT" 723s ./mwe:error: error during elaboration 723s 723s 723s gna issue1360: failed 723s analyze onehot_aggregate.vhdl 723s elaborate and simulate tb 723s ./tb:error: cannot open file "STD_INPUT" 723s ./tb:error: error during elaboration 723s 723s 723s gna issue736: failed 723s analyze simple_fsm.vhdl tb_simple_fsm.vhdl 723s tb_simple_fsm.vhdl:27:12:warning: declaration of "clock_ena" hides signal "clock_ena" [-Whide] 723s signal clock_ena : in boolean; 723s ^ 723s elaborate and simulate tb_simple_fsm 723s ./tb_simple_fsm:error: cannot open file "STD_INPUT" 723s ./tb_simple_fsm:error: error during elaboration 723s 723s 723s gna issue737: failed 723s analyze ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(impl).P0 723s ./ent:error: error during elaboration 723s 723s 723s gna issue756: failed 723s analyze test_entity.vhdl 723s elaborate and simulate test_entity 723s ./test_entity:error: cannot open file "STD_INPUT" 723s ./test_entity:error: error during elaboration 723s 723s 723s gna issue1361: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: NULL access dereferenced 723s in process .repro2(behav).P0 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue776: failed 723s analyze main.vhdl 723s elaborate and simulate HA_Entity 723s main.vhdl:21:3:warning: instance "HA_Inst" of component "HA_Comp" is not bound [-Wbinding] 723s HA_Inst : HA_Comp 723s ^ 723s main.vhdl:11:14:warning: (in default configuration of HA_Entity(HA_Arch)) 723s ./ha_entity:error: NULL access dereferenced 723s ./ha_entity:error: error during elaboration 723s 723s 723s gna issue780: failed 723s analyze pkg.vhdl 723s analyze ent.vhdl 723s elaborate and simulate dut 723s ./dut:error: cannot open file "STD_INPUT" 723s ./dut:error: error during elaboration 723s 723s 723s gna issue786: failed 723s analyze ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).P0 723s ./ent:error: error during elaboration 723s 723s 723s gna issue787: failed 723s analyze ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).P0 723s ./ent:error: simulation failed 723s 723s 723s gna issue1376: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1_tb 723s ./repro1_tb:error: cannot open file "STD_INPUT" 723s ./repro1_tb:error: error during elaboration 723s 723s 723s gna issue788: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s in process .repro(behav).P0 723s ./repro:error: simulation failed 723s 723s 723s gna issue795: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue807: failed 723s analyze repropoc.vhdl 723s elaborate and simulate repropoc 723s ./repropoc:error: NULL access dereferenced 723s ./repropoc:error: error during elaboration 723s 723s 723s gna issue1389: failed 723s analyze mwe.vhdl 723s elaborate and simulate mwe 723s ./mwe:error: NULL access dereferenced 723s ./mwe:error: error during elaboration 723s 723s 723s gna issue818: failed 723s analyze tc1.vhdl 723s elaborate and simulate tc1 723s ./tc1:error: cannot open file "STD_INPUT" 723s ./tc1:error: error during elaboration 723s 723s 723s gna issue1392: failed 723s analyze file15.vhdl 723s elaborate and simulate file15 723s ./file15:error: NULL access dereferenced 723s in process .file15(rtl).P0 723s ./file15:error: simulation failed 723s 723s 723s gna issue821: failed 723s analyze ent.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna issue14: failed 723s analyze repro.vhdl 723s elaborate and simulate repro --assert-level=error 723s ./repro:error: cannot open file "STD_INPUT" 723s ./repro:error: error during elaboration 723s 723s 723s gna issue828: failed 723s analyze test.vhdl 723s test.vhdl:14:31:warning: declaration of "tx" hides port "tx" [-Whide] 723s signal tx : out std_logic) is 723s ^ 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue1404: failed 723s analyze pkg.vhdl 723s analyze types_pkg.vhdl 723s analyze tb.vhdl 723s elaborate design_tb 723s simulate design_tb --wave=tb.ghw ( design_tb --wave=tb.ghw) 723s ./design_tb:error: NULL access dereferenced 723s ./design_tb:error: error during elaboration 723s 723s 723s gna issue852: failed 723s analyze repro1.vhdl 723s elaborate repro1 723s simulate repro1 --dump-rti ( repro1 --dump-rti) 723s ./repro1:error: cannot open file "STD_INPUT" 723s ./repro1:error: error during elaboration 723s 723s 723s gna issue1405: failed 723s analyze ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: cannot open file "STD_INPUT" 723s ./ent:error: error during elaboration 723s 723s 723s gna issue1415: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue874: failed 723s analyze crash.vhdl 723s elaborate and simulate testbench 723s ./testbench:error: cannot open file "STD_INPUT" 723s ./testbench:error: error during elaboration 723s 723s 723s gna issue1416: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue877: failed 723s analyze aa2.vhdl 723s analyze tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna issue880: failed 723s analyze psl.vhdl 723s elaborate psl 723s simulate psl --psl-report=psl.out ( psl --psl-report=psl.out) 723s ./psl:error: NULL access dereferenced 723s ./psl:error: error during elaboration 723s 723s 723s gna issue1419: failed 723s try to analyze -Werror repro3.vhdl 723s repro3.vhdl:10:36:error: static expression violates bounds 723s constant k : t_record := ("abc", 0); -- BOUND error 723s ^ 723s try to analyze -Werror repro4.vhdl 723s repro4.vhdl:7:40:error: element is out of the bounds 723s constant k : natural_array := (0, 1, -2); 723s ^ 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: NULL access dereferenced 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue885: failed 723s analyze a.vhdl 723s elaborate and simulate dut 723s ./dut:error: cannot open file "STD_INPUT" 723s ./dut:error: error during elaboration 723s 723s 723s gna issue1420: failed 723s analyze repro1.vhdl 723s /usr/bin/ghdl-llvm:error: file 'repro1' does not exist 723s /usr/bin/ghdl-llvm:error: Please elaborate your design. 723s analyze repro3.vhdl 723s 723s 723s gna issue887: failed 723s analyze test2.vhdl 723s elaborate and simulate tb2 723s ./tb2:error: cannot open file "STD_INPUT" 723s ./tb2:error: error during elaboration 723s 723s 723s gna issue899: failed 723s analyze testing.vhdl 723s elaborate and simulate testing 723s ./testing:error: cannot open file "STD_INPUT" 723s ./testing:error: error during elaboration 723s 723s 723s gna issue912: failed 723s analyze mwe_entity.vhdl mwe_tb.vhdl 723s elaborate and simulate (failure expected) mwe_tb 723s mwe_tb.vhdl:16:5:warning: instance "dut" of component "mwe_entity" is not bound [-Wbinding] 723s dut:mwe_entity 723s ^ 723s mwe_tb.vhdl:7:14:warning: (in default configuration of mwe_tb(testbench)) 723s mwe_tb.vhdl:24:13:@5ns:(assertion failure): Assertion violation 723s ./mwe_tb:error: assertion failed 723s in process .mwe_tb(testbench).P0 723s analyze mwe_entity.vhdl mwe_tb.vhdl 723s elaborate and simulate mwe_tb 723s ./mwe_tb:error: NULL access dereferenced 723s in process .mwe_tb(testbench).P0 723s ./mwe_tb:error: error during elaboration 723s 723s 723s gna issue1429: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue913: failed 723s analyze apackage.vhdl repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: cannot open file "STD_INPUT" 723s ./repro:error: error during elaboration 723s 723s 723s gna issue1431: failed 723s analyze config_example.vhdl 723s elaborate and simulate config_example 723s ./config_example:error: NULL access dereferenced 723s ./config_example:error: error during elaboration 723s 723s 723s gna issue916: failed 723s analyze dut.vhdl 723s elaborate and simulate dut 723s ./dut:error: cannot open file "STD_INPUT" 723s ./dut:error: error during elaboration 723s 723s 723s gna issue917: failed 723s analyze dut.vhdl 723s elaborate and simulate dut 723s ./dut:error: cannot open file "STD_INPUT" 723s ./dut:error: error during elaboration 723s 723s 723s gna issue1440: failed 723s analyze tb_last_value_bug.vhdl 723s elaborate and simulate tb_last_value_bug --stop-time=50ns 723s ./tb_last_value_bug:error: cannot open file "STD_INPUT" 723s ./tb_last_value_bug:error: error during elaboration 723s 723s 723s gna issue918: failed 723s analyze ent.vhdl 723s elaborate and simulate board 723s ./board:error: NULL access dereferenced 723s ./board:error: error during elaboration 723s 723s 723s gna issue1443: failed 723s analyze e.vhdl 723s elaborate and simulate e 723s ./e:error: cannot open file "STD_INPUT" 723s ./e:error: error during elaboration 723s 723s 723s gna issue922: failed 723s analyze dut.vhdl 723s elaborate and simulate dut 723s ./dut:error: cannot open file "STD_INPUT" 723s ./dut:error: error during elaboration 723s 723s 723s gna issue1453: failed 723s analyze bug.vhdl ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: cannot open file "STD_INPUT" 723s ./ent:error: error during elaboration 723s 723s 723s gna issue961: failed 723s analyze tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: cannot open file "STD_INPUT" 723s ./tb:error: error during elaboration 723s 723s 723s gna issue98: failed 723s analyze test_load.vhdl 723s elaborate test_load 723s /usr/bin/gcc 723s /usr/bin/gcc -c vpi1.c -I/usr/lib/ghdl/include/ghdl -fPIC 723s vpi1.c: In function ‘my_handle_register’: 723s vpi1.c:26:13: warning: assignment to ‘PLI_INT32 (*)(struct t_cb_data *)’ {aka ‘int (*)(struct t_cb_data *)’} from incompatible pointer type ‘void (*)(void)’ [-Wincompatible-pointer-types] 723s 26 | cb.cb_rtn = &vpi_proc; 723s | ^ 723s /usr/bin/gcc -o vpi1.vpi vpi1.o --shared -L/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/usr/lib/ghdl/llvm 723s simulate test_load --vpi=./vpi1.vpi ( test_load --vpi=./vpi1.vpi) 723s loading VPI module './vpi1.vpi' 723s VPI module loaded! 723s ./test_load:error: NULL access dereferenced 723s ./test_load:error: error during elaboration 723s 723s 723s gna issue1469: failed 723s try to analyze ent.vhdl 723s ent.vhdl:11:9:error: target (constant interface "a") is not a signal 723s a(a'high) <= a-1; 723s ^ 723s ent.vhdl:11:23:error: no function declarations for operator "-" 723s a(a'high) <= a-1; 723s ^ 723s analyze ent1.vhdl 723s elaborate and simulate ent1 723s ./ent1:error: cannot open file "STD_INPUT" 723s ./ent1:error: error during elaboration 723s 723s 723s gna issue983: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue1474: failed 723s analyze ent1.vhdl 723s elaborate and simulate ent1 723s ./ent1:error: cannot open file "STD_INPUT" 723s ./ent1:error: error during elaboration 723s 723s 723s gna issue984: failed 723s analyze types_pkg.vhd 723s analyze const_pkg.vhd 723s analyze tester.vhd 723s analyze generic_check.vhd 723s analyze tester_conf.vhd 723s elaborate and simulate tester_conf 723s ./tester_conf:error: NULL access dereferenced 723s ./tester_conf:error: error during elaboration 723s 723s 723s gna lsp27: failed 723s analyze -Wunused -Werror mwe.vhdl 723s elaborate and simulate mwe 723s ./mwe:error: NULL access dereferenced 723s ./mwe:error: error during elaboration 723s 723s 723s gna issue1493: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue15: failed 723s analyze to_slv_issue.vhdl 723s elaborate and simulate to_slv_issue 723s ./to_slv_issue:error: cannot open file "STD_INPUT" 723s ./to_slv_issue:error: error during elaboration 723s 723s 723s gna issue150: failed 723s analyze concat2.vhdl 723s elaborate and simulate (failure expected) concat 723s ./concat:error: NULL access dereferenced 723s ./concat:error: error during elaboration 723s Remove work library 723s analyze concat2.vhdl 723s elaborate and simulate concat 723s ./concat:error: NULL access dereferenced 723s ./concat:error: error during elaboration 723s 723s 723s gna issue1515: failed 723s analyze ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s ./ent:error: error during elaboration 723s 723s 723s gna issue1523: failed 723s analyze repro.vhdl 723s elaborate and simulate ghdl_bug_repro 723s ./ghdl_bug_repro:error: cannot open file "STD_INPUT" 723s ./ghdl_bug_repro:error: error during elaboration 723s 723s 723s gna issue1528: failed 723s analyze attrs_pkg.vhdl ent1.vhdl ent2.vhdl ent3.vhdl uattr3.vhdl 723s elaborate and simulate uattr3 723s ./uattr3:error: NULL access dereferenced 723s ./uattr3:error: error during elaboration 723s 723s 723s gna issue1549: failed 723s analyze ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: cannot open file "STD_INPUT" 723s ./ent:error: error during elaboration 723s 723s 723s gna issue158: failed 723s analyze repro.vhdl 723s elaborate repro 723s simulate repro --wave=repro.ghw ( repro --wave=repro.ghw) 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s cannot open ghw file repro.ghw 723s 723s 723s gna issue1589: failed 723s analyze ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: cannot open file "STD_INPUT" 723s ./ent:error: error during elaboration 723s 723s 723s gna issue1593: failed 723s analyze element_bug.vhdl 723s elaborate and simulate e1_tb 723s ./e1_tb:error: NULL access dereferenced 723s ./e1_tb:error: error during elaboration 723s 723s 723s gna issue1612: failed 723s analyze repro.vhdl 723s elaborate and simulate expose 723s ./expose:error: NULL access dereferenced 723s ./expose:error: error during elaboration 723s 723s 723s gna issue1625: failed 723s analyze level2.vhdl 723s analyze level1.vhdl 723s elaborate and simulate level1 723s ./level1:error: cannot open file "STD_INPUT" 723s ./level1:error: error during elaboration 723s 723s 723s gna issue163: failed 723s analyze qualified_expr.vhdl 723s elaborate and simulate qualified_expr 723s ./qualified_expr:error: NULL access dereferenced 723s ./qualified_expr:error: error during elaboration 723s 723s 723s gna issue1654: failed 723s analyze issue2.vhdl 723s elaborate and simulate test_issue --assert-level=error 723s ./test_issue:error: cannot open file "STD_INPUT" 723s ./test_issue:error: error during elaboration 723s 723s 723s gna issue1657: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s in process .repro(rtl).test_proc 723s ./repro:error: simulation failed 723s 723s 723s gna issue1667: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue1672: failed 723s analyze dut.vhdl 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue1688: failed 723s analyze test2.vhdl 723s elaborate and simulate test 723s ./test:error: NULL access dereferenced 723s ./test:error: error during elaboration 723s 723s 723s gna issue1689: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue1690: failed 723s analyze top.vhd 723s elaborate and simulate tb_top --psl-report-uncovered 723s ./tb_top:error: cannot open file "STD_INPUT" 723s ./tb_top:error: error during elaboration 723s 723s 723s gna issue17: failed 723s analyze cond_assign_var.vhdl 723s elaborate and simulate cond_assign_var 723s ./cond_assign_var:error: cannot open file "STD_INPUT" 723s ./cond_assign_var:error: error during elaboration 723s 723s 723s gna issue1704: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s in process .repro1(behav).P0 723s ./repro1:error: simulation failed 723s 723s 723s gna issue1708: failed 723s try to analyze tb_top.vhdl 723s tb_top.vhdl:32:45:error: '[=' not allowed on a SERE 723s my_seq : assert never {a = '1'; b = '1'}[=3]; 723s ^ 723s try to analyze ex3.vhdl 723s ex3.vhdl:31:36:error: '[->' not allowed on a SERE 723s my_seq : assert never {a = '1'}[->3]; 723s ^ 723s try to analyze ex4.vhdl 723s ex4.vhdl:31:45:error: '[->' not allowed on a SERE 723s my_seq : assert never {a = '1'; b = '1'}[->3]; 723s ^ 723s analyze ex1.vhdl 723s elaborate and simulate ex1 723s ./ex1:error: cannot open file "STD_INPUT" 723s ./ex1:error: error during elaboration 723s 723s 723s gna issue1715: failed 723s analyze mwe.vhdl 723s elaborate and simulate mwe 723s ./mwe:error: cannot open file "STD_INPUT" 723s ./mwe:error: error during elaboration 723s 723s 723s gna issue1757: failed 723s try to analyze testm.vhdl 723s testm.vhdl:38:10:error: choice subtype is not locally static 723s case (fred(1 downto 0)) is 723s ^ 723s Remove work library 723s analyze testm.vhdl 723s elaborate and simulate testm 723s ./testm:error: cannot open file "STD_INPUT" 723s ./testm:error: error during elaboration 723s 723s 723s gna issue1764: failed 723s analyze repro.vhdl 723s elaborate and simulate repro --assert-level=error 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue1771: failed 723s analyze tf.vhdl 723s elaborate and simulate tf 723s ./tf:error: cannot open file "STD_INPUT" 723s ./tf:error: error during elaboration 723s 723s 723s gna issue1772: failed 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: NULL access dereferenced 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue18: failed 723s analyze fum.vhdl 723s analyze integer_ambig.vhdl 723s elaborate and simulate overload_index_issue 723s ./overload_index_issue:error: NULL access dereferenced 723s ./overload_index_issue:error: error during elaboration 723s 723s 723s gna issue1814: failed 723s analyze ent.vhdl top.vhdl 723s elaborate and simulate top 723s ./top:error: cannot open file "STD_INPUT" 723s ./top:error: error during elaboration 723s 723s 723s gna issue1818: failed 723s analyze mwe.vhdl 723s elaborate and simulate ghdl_mwe 723s ./ghdl_mwe:error: cannot open file "STD_INPUT" 723s ./ghdl_mwe:error: error during elaboration 723s 723s 723s gna issue1831: failed 723s analyze sipo.vhdl 723s elaborate and simulate sipo 723s ./sipo:error: cannot open file "STD_INPUT" 723s ./sipo:error: error during elaboration 723s 723s 723s gna issue1832: failed 723s try to analyze -Werror psl.vhdl 723s psl.vhdl:20:3:error: property cannot fail 723s INF_a : assert always {a} |=> {not b[*0 to inf]; b}; 723s ^ 723s analyze psl.vhdl 723s psl.vhdl:20:3:warning: property cannot fail [-Wuseless] 723s INF_a : assert always {a} |=> {not b[*0 to inf]; b}; 723s ^ 723s Remove work library 723s analyze issue.vhdl 723s issue.vhdl:79:3:warning: property cannot fail [-Wuseless] 723s INF_a : assert always {a} |=> {not b[*0 to inf]; b}; 723s ^ 723s issue.vhdl:81:3:warning: property cannot fail [-Wuseless] 723s INF_b : assert always {a} |=> {not b[*]; b}; 723s ^ 723s elaborate and simulate issue 723s ./issue:error: cannot open file "STD_INPUT" 723s ./issue:error: error during elaboration 723s 723s 723s gna issue1833: failed 723s analyze test.vhdl 723s elaborate and simulate shared2 723s ./shared2:error: cannot open file "STD_INPUT" 723s ./shared2:error: error during elaboration 723s 723s 723s gna issue1836: failed 723s analyze test2.vhdl 723s elaborate and simulate test2 723s ./test2:error: NULL access dereferenced 723s ./test2:error: error during elaboration 723s 723s 723s gna issue1843: failed 723s analyze counter.vhdl 723s elaborate and simulate counter 723s ./counter:error: cannot open file "STD_INPUT" 723s ./counter:error: error during elaboration 723s 723s 723s gna issue1844: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: cannot open file "STD_INPUT" 723s ./repro1:error: error during elaboration 723s 723s 723s gna issue1857: failed 723s analyze subtype_test.vhdl tb.vhdl 723s elaborate and simulate subtype_test_tb 723s ./subtype_test_tb:error: cannot open file "STD_INPUT" 723s ./subtype_test_tb:error: error during elaboration 723s 723s 723s gna issue1862: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s in process .repro(beh).P0 723s ./repro:error: simulation failed 723s 723s 723s gna issue1867: failed 723s analyze repro.vhdl 723s elaborate and simulate two 723s ./two:error: cannot open file "STD_INPUT" 723s ./two:error: error during elaboration 723s 723s 723s gna issue1872: failed 723s analyze match_operators.vhdl 723s elaborate and simulate match_operators 723s ./match_operators:error: cannot open file "STD_INPUT" 723s ./match_operators:error: error during elaboration 723s 723s 723s gna issue1881: failed 723s analyze mcve.vhdl 723s elaborate mcve 723s simulate mcve --vcd=mcve.vcd --stop-time=1us ( mcve --vcd=mcve.vcd --stop-time=1us) 723s ./mcve:error: NULL access dereferenced 723s ./mcve:error: error during elaboration 723s 723s 723s gna issue1898: failed 723s analyze scale.vhdl 723s elaborate and simulate scale 723s analyze scale2.vhdl 723s elaborate and simulate scale2 723s ./scale2:error: NULL access dereferenced 723s ./scale2:error: error during elaboration 723s 723s 723s gna issue190: failed 723s analyze e.vhdl 723s elaborate and simulate e 723s ./e:error: cannot open file "STD_INPUT" 723s ./e:error: error during elaboration 723s 723s 723s gna issue1914: failed 723s analyze delayline1d.vhdl tb_delayline1d.vhdl 723s elaborate and simulate tb_delayline1d 723s ./tb_delayline1d:error: cannot open file "STD_INPUT" 723s ./tb_delayline1d:error: error during elaboration 723s 723s 723s gna issue1924: failed 723s analyze fixed_pkg.vhdl float32_pkg.vhdl test_float_to_sfixed.vhdl 723s elaborate and simulate test_float_to_sfixed 723s ./test_float_to_sfixed:error: cannot open file "STD_INPUT" 723s ./test_float_to_sfixed:error: error during elaboration 723s 723s 723s gna issue1980: failed 723s analyze --work=osvvm NamePkg.vhd 723s analyze --work=osvvm OsvvmGlobalPkg.vhd 723s analyze --work=osvvm TextUtilPkg.vhd 723s analyze --work=osvvm AlertLogPkg.vhd 723s AlertLogPkg.vhd:1299:46:warning: declaration of "AlertCount" hides variable "AlertCount" [-Whide] 723s procedure ReportAlerts ( Name : string ; AlertCount : AlertCountType ) is 723s ^ 723s AlertLogPkg.vhd:1334:7:warning: declaration of "AlertCount" hides variable "AlertCount" [-Whide] 723s AlertCount : AlertCountType ; 723s ^ 723s AlertLogPkg.vhd:1531:7:warning: declaration of "AlertCount" hides variable "AlertCount" [-Whide] 723s AlertCount : AlertCountType ; 723s ^ 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: cannot open file "STD_INPUT" 723s ./repro:error: error during elaboration 723s 723s 723s gna issue199: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s in process .repro(behav).P0 723s ./repro:error: simulation failed 723s 723s 723s gna issue1994: failed 723s analyze tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna issue2: failed 723s analyze repro.vhdl 723s analyze repro2.vhdl 723s elaborate and simulate repro2 723s ./repro2:error: NULL access dereferenced 723s ./repro2:error: error during elaboration 723s 723s 723s gna issue20: failed 723s analyze fp_write_read_issue.vhdl 723s elaborate and simulate fp_write_read_issue 723s ./fp_write_read_issue:error: cannot open file "STD_INPUT" 723s ./fp_write_read_issue:error: error during elaboration 723s 723s 723s gna issue202: failed 723s analyze --work=osvvm ScoreboardGenericPkg.vhd 723s try to analyze repro_err.vhdl 723s repro_err.vhdl:38:53:error: missing ";" at end of alias declaration 723s alias T_SCOREBOARD is P_Scoreboard.ScoreBoardPType 723s ^ 723s analyze repro.vhdl 723s elaborate and simulate e 723s ./e:error: cannot open file "STD_INPUT" 723s ./e:error: error during elaboration 723s 723s 723s gna issue2026: failed 723s analyze repro1.vhdl 723s Remove work library 723s analyze test_tb.vhdl 723s elaborate and simulate test_tb 723s ./test_tb:error: NULL access dereferenced 723s ./test_tb:error: error during elaboration 723s 723s 723s gna issue2051: failed 723s analyze repro.vhdl 723s analyze repro3.vhdl 723s elaborate and simulate repro3 723s ./repro3:error: NULL access dereferenced 723s in process .repro3(arch).P0 723s ./repro3:error: simulation failed 723s 723s 723s gna issue2055: failed 723s analyze tb.vhdl 723s elaborate and simulate tb 723s ./tb:error: cannot open file "STD_INPUT" 723s ./tb:error: error during elaboration 723s 723s 723s gna issue2065: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: NULL access dereferenced 723s ./repro:error: error during elaboration 723s 723s 723s gna issue2071: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s ./repro:error: cannot open file "STD_INPUT" 723s ./repro:error: error during elaboration 723s 723s 723s gna issue2091: failed 723s analyze log.vhdl test.vhdl 723s log.vhdl:18:20:warning: declaration of a protected object before the protected body [-Welaboration] 723s shared variable logger : t_logger; 723s ^ 723s log.vhdl:48:17:warning: declaration of "log" hides package "log" [-Whide] 723s procedure log(lvl : t_level; msg : string) is 723s ^ 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2097: failed 723s analyze my_fixed_pkg.vhdl 723s analyze tb_fixed1.vhdl 723s elaborate and simulate tb_fixed1 723s ./tb_fixed1:error: cannot open file "STD_INPUT" 723s ./tb_fixed1:error: error during elaboration 723s 723s 723s gna issue2098: failed 723s try to analyze -Werror=elaboration test-orig.vhdl 723s test-orig.vhdl:27:20:error: declaration of a protected object before the protected body 723s shared variable logger : t_logger; 723s ^ 723s test-orig.vhdl:48:14:error: package "log" was not analysed 723s package body log is 723s ^ 723s test-orig.vhdl:126:13:error: unit "log" not found in library "work" 723s use work.log; 723s ^ 723s test-orig.vhdl:131:20:error: entity "test" was not analysed 723s architecture tb of test is 723s ^ 723s analyze -Werror=elaboration test2.vhdl 723s elaborate and simulate test2 723s ./test2:error: cannot open file "STD_INPUT" 723s ./test2:error: error during elaboration 723s 723s 723s gna issue2112: failed 723s analyze abc.vhdl 723s analyze abc_tb.vhdl 723s elaborate and simulate abc_tb 723s ./abc_tb:error: cannot open file "STD_INPUT" 723s ./abc_tb:error: error during elaboration 723s 723s 723s gna issue2115: failed 723s analyze ent.vhdl 723s elaborate and simulate ent 723s ./ent:error: cannot open file "STD_INPUT" 723s ./ent:error: error during elaboration 723s 723s 723s gna issue2118: failed 723s analyze top.vhdl 723s elaborate and simulate top 723s ./top:error: cannot open file "STD_INPUT" 723s ./top:error: error during elaboration 723s 723s 723s gna issue2136: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s in process .repro1(bhv).P0 723s ./repro1:error: simulation failed 723s 723s 723s gna issue2138: failed 723s analyze variable_assignment_with_when.vhdl 723s elaborate and simulate variable_assignment_with_when 723s ./variable_assignment_with_when:error: cannot open file "STD_INPUT" 723s ./variable_assignment_with_when:error: error during elaboration 723s 723s 723s gna issue2141: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2147: failed 723s try to analyze e.vhdl 723s e.vhdl:12:27:error: 'range attribute not allowed in an expression 723s constant c : bit := f'range; 723s ^ 723s analyze e2.vhdl 723s elaborate and simulate e2 723s ./e2:error: NULL access dereferenced 723s ./e2:error: error during elaboration 723s 723s 723s gna issue2152: failed 723s analyze e.vhdl 723s analyze e1.vhdl 723s elaborate and simulate e1 723s ./e1:error: NULL access dereferenced 723s in process .e1(a).P0 723s ./e1:error: error during elaboration 723s 723s 723s gna issue2153: failed 723s analyze issue.vhdl 723s elaborate and simulate issue 723s ./issue:error: cannot open file "STD_INPUT" 723s ./issue:error: error during elaboration 723s 723s 723s gna issue2155: failed 723s analyze closely_related_arrays.vhdl 723s analyze e.vhdl 723s elaborate and simulate e 723s ./e:error: cannot open file "STD_INPUT" 723s ./e:error: error during elaboration 723s 723s 723s gna issue2157: failed 723s analyze issue.vhdl 723s elaborate and simulate issue 723s ./issue:error: cannot open file "STD_INPUT" 723s ./issue:error: error during elaboration 723s 723s 723s gna issue2166: failed 723s analyze repro1.vhdl 723s elaborate and simulate repro1 723s ./repro1:error: NULL access dereferenced 723s in process .repro1(behav).P0 723s ./repro1:error: simulation failed 723s 723s 723s gna issue2174: failed 723s try to analyze test.vhdl 723s test.vhdl:12:9:error: file type element not allowed in a composite type 723s fid: lol; 723s ^ 723s test.vhdl:17:14:error: package "sim_ram_pkg" was not analysed 723s package body sim_ram_pkg is 723s ^ 723s analyze t1.vhdl 723s elaborate and simulate t1 723s ./t1:error: cannot open file "STD_INPUT" 723s ./t1:error: error during elaboration 723s 723s 723s gna issue2175: failed 723s analyze pkg.vhdl 723s analyze tb2.vhdl 723s elaborate and simulate tb2 723s ./tb2:error: cannot open file "STD_INPUT" 723s ./tb2:error: error during elaboration 723s 723s 723s gna issue2189: failed 723s analyze bug02.vhdl 723s elaborate and simulate bug02 723s ./bug02:error: cannot open file "STD_INPUT" 723s ./bug02:error: error during elaboration 723s 723s 723s gna issue2190: failed 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2193: failed 723s analyze demo2.vhdl 723s elaborate and simulate demo2 723s ./demo2:error: cannot open file "STD_INPUT" 723s ./demo2:error: error during elaboration 723s 723s 723s gna issue2212: failed 723s analyze minimal.vhdl 723s elaborate and simulate minimal 723s ./minimal:error: cannot open file "STD_INPUT" 723s ./minimal:error: error during elaboration 723s 723s 723s gna issue2215: failed 723s analyze e.vhdl 723s 723s 723s gna issue2216: failed 723s analyze pkg.vhdl ent.vhdl 723s elaborate and simulate ent 723s *** stack smashing detected ***: terminated 723s 723s 723s gna issue2218: failed 723s try to analyze test2.vhdl 723s test2.vhdl:9:55:error: no declaration for "t_unsigned_vector" 723s type t_range_uns_vec is array (natural range <>) of t_unsigned_vector; 723s ^ 723s analyze test.vhdl 723s elaborate and simulate test 723s ./test:error: cannot open file "STD_INPUT" 723s ./test:error: error during elaboration 723s 723s 723s gna issue2223: failed 723s analyze repro6.vhdl 723s elaborate and simulate MemPkgReproducer6 723s ./mempkgreproducer6:error: NULL access dereferenced 723s in process .mempkgreproducer6(behav).P0 723s ./mempkgreproducer6:error: simulation failed 723s 723s 723s gna perf02-long: failed 723s analyze add_142.vhd add_153.vhd add_154.vhd add_155.vhd add_159.vhd cmp_662.vhd cmp_673.vhd cmp_694.vhd cmp_700.vhd compressed.vhd decis_levl.vhd fsm_163.vhd ilb_table.vhd mul_145.vhd mul_146.vhd mul_148.vhd mul_149.vhd mul_156.vhd mul_161.vhd qq2_code2_table.vhd qq4_code4_table.vhd qq6_code6_table.vhd quant26bt_neg.vhd quant26bt_pos.vhd result.vhd shr_141.vhd sub_143.vhd sub_144.vhd sub_147.vhd sub_160.vhd tb.vhd test_data.vhd top.vhd wh_code_table.vhd wl_code_table.vhd 723s top.vhd:1879:26:warning: declaration of "result" hides component "result" [-Whide] 723s variable result: std_logic_vector(N-1 downto 0); 723s ^ 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s ./tb:error: error during elaboration 723s 723s 723s gna sr2655: failed 723s analyze bug.vhdl 723s elaborate and simulate bug 723s ./bug:error: NULL access dereferenced 723s in process .bug(this).P0 723s ./bug:error: error during elaboration 723s 723s 723s gna sr2676: failed 723s analyze reset_types.vhdl reset.vhdl reset-rtl.vhdl reset-test.vhdl 723s elaborate and simulate reset_testbench --stop-time=1us 723s ./reset_testbench:error: cannot open file "STD_INPUT" 723s ./reset_testbench:error: error during elaboration 723s 723s 723s gna sr2737: failed 723s analyze testit.vhdl 723s elaborate and simulate testit 723s ./testit:error: NULL access dereferenced 723s in process .testit(behave).P0 723s ./testit:error: error during elaboration 723s 723s 723s gna ticket11: failed 723s analyze signalevents.vhdl 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s in process .tb(arch).P0 723s ./tb:error: simulation failed 723s 723s 723s gna ticket14: failed 723s analyze test_case.vhd 723s analyze scrambler_tb.vhd 723s elaborate and simulate scrambler_tb 723s ./scrambler_tb:error: NULL access dereferenced 723s ./scrambler_tb:error: error during elaboration 723s 723s 723s gna ticket18: failed 723s analyze psl_test_error.vhd 723s elaborate and simulate psl_test_error --stop-time=200ns 723s ./psl_test_error:error: NULL access dereferenced 723s ./psl_test_error:error: error during elaboration 723s 723s 723s gna ticket19: failed 723s analyze psl_test_cover.vhd 723s elaborate and simulate psl_test_cover --stop-time=200ns 723s ./psl_test_cover:error: NULL access dereferenced 723s ./psl_test_cover:error: error during elaboration 723s 723s 723s gna ticket20: failed 723s analyze morten1.vhdl 723s elaborate and simulate morten 723s ./morten:error: NULL access dereferenced 723s ./morten:error: error during elaboration 723s 723s 723s gna ticket24: failed 723s analyze psl.vhdl 723s elaborate psl 723s simulate psl --psl-report=psl.out ( psl --psl-report=psl.out) 723s ./psl:error: NULL access dereferenced 723s ./psl:error: error during elaboration 723s 723s 723s gna ticket26: failed 723s analyze psl_test_named_statement.vhd 723s elaborate and simulate psl_test_named_statement --stop-time=200ns 723s ./psl_test_named_statement:error: NULL access dereferenced 723s ./psl_test_named_statement:error: error during elaboration 723s missing psl error 723s 723s 723s gna ticket32: failed 723s analyze repro.vhdl 723s elaborate and simulate repro 723s Remove work library 723s analyze --work=unisim muxcy.vhdl 723s analyze --work=poc simulation.vhdl 723s analyze --work=poc arith_prefix_and.vhdl 723s analyze --work=test arith_prefix_and_tb.vhdl 723s elaborate and simulate --work=test arith_prefix_and_tb 723s ./arith_prefix_and_tb:error: NULL access dereferenced 723s ./arith_prefix_and_tb:error: error during elaboration 723s 723s 723s gna ticket37: failed 723s analyze dispgen.vhdl 723s elaborate and simulate dispgen 723s ./dispgen:error: NULL access dereferenced 723s ./dispgen:error: error during elaboration 723s 723s 723s gna ticket38: failed 723s analyze associate.vhdl 723s elaborate and simulate associate 723s ./associate:error: NULL access dereferenced 723s ./associate:error: error during elaboration 723s 723s 723s gna ticket39: failed 723s analyze test.vhd 723s elaborate and simulate test 723s ./test:error: NULL access dereferenced 723s in process .test(test).p_p 723s ./test:error: simulation failed 723s 723s 723s gna ticket53: failed 723s analyze decl1.vhdl 723s analyze ent1.vhdl 723s elaborate and simulate ent1 723s ./ent1:error: cannot open file "STD_INPUT" 723s ./ent1:error: error during elaboration 723s 723s 723s gna ticket59: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(behav).P0 723s ./ent:error: simulation failed 723s 723s 723s gna ticket61: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s bug.vhdl:13:5:@0ms:(report note): false 723s bug.vhdl:14:5:@0ms:(report note): false 723s bug.vhdl:15:5:@0ms:(report note): 1 723s bug.vhdl:16:5:@0ms:(report note): false 723s bug.vhdl:17:5:@0ms:(report note): Extended 723s bug.vhdl:18:5:@0ms:(report note): Weird\Name 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).main 723s ./ent:error: simulation failed 723s 723s 723s gna ticket66: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s ./ent:error: error during elaboration 723s 723s 723s gna ticket69: failed 723s analyze bug.vhdl 723s bug.vhdl:9:3:warning: infinite loop for this process without a wait statement [-Wmissing-wait] 723s main : process 723s ^ 723s analyze repro.vhdl 723s repro.vhdl:1:1:warning: entity "ent" was also defined in file "bug.vhdl" [-Wlibrary] 723s library ieee; 723s ^ 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s ./ent:error: error during elaboration 723s 723s 723s gna ticket70: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s ./ent:error: error during elaboration 723s 723s 723s gna ticket71: failed 723s try to analyze bug.vhdl 723s bug.vhdl:21:8:error: cannot associate an out object with in signal interface "sig" 723s proc(prt, "entity"); 723s ^ 723s bug.vhdl:24:19:error: entity "ent2" was not analysed 723s architecture a of ent2 is 723s ^ 723s bug.vhdl:39:19:error: entity "ent" was not analysed 723s architecture a of ent is 723s ^ 723s Remove work library 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s ./ent:error: error during elaboration 723s 723s 723s gna ticket73: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).main 723s ./ent:error: simulation failed 723s 723s 723s gna ticket74: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).main 723s ./ent:error: simulation failed 723s 723s 723s gna ticket77: failed 723s analyze bug1.vhdl 723s elaborate and simulate ent1 723s ./ent1:error: NULL access dereferenced 723s ./ent1:error: error during elaboration 723s analyze bug2.vhdl 723s elaborate and simulate ent2 723s ./ent2:error: NULL access dereferenced 723s ./ent2:error: error during elaboration 723s analyze bug3.vhdl 723s elaborate and simulate ent3 723s ./ent3:error: NULL access dereferenced 723s ./ent3:error: error during elaboration 723s Exit status 7 expected 723s 723s 723s gna ticket78: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).main 723s ./ent:error: simulation failed 723s 723s 723s gna ticket84: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: cannot open file "STD_INPUT" 723s ./ent:error: error during elaboration 723s 723s 723s gna ticket86: failed 723s analyze bug.vhdl 723s elaborate and simulate ent 723s ./ent:error: NULL access dereferenced 723s in process .ent(behav).P0 723s ./ent:error: simulation failed 723s 723s 723s gna ticket92: failed 723s analyze cover_report2.vhd 723s elaborate and simulate cover_report2 723s ./cover_report2:error: cannot open file "STD_INPUT" 723s ./cover_report2:error: error during elaboration 723s 723s 723s gna ticket94: failed 723s analyze --work=alib asrc.vhd 723s analyze tb.vhd 723s elaborate and simulate tb 723s ./tb:error: NULL access dereferenced 723s in process .tb(arch).P2 723s ./tb:error: error during elaboration 723s 723s 723s gna ticket96: failed 723s analyze ent.vhd 723s elaborate and simulate ent --stop-time=10ns 723s ./ent:error: NULL access dereferenced 723s in process .ent(a).main 723s ./ent:error: simulation failed 723s 723s 723s gna test failed (bug01 issue2244 issue225 issue2250 bug010 issue2264 issue2269 issue227 issue2276 bug0103 issue228 bug0105 issue2288 bug0109 issue2299 bug011 bug0115 bug0117 issue2326 bug012 issue233 bug0123 bug0125 bug0128 issue2336 bug0129 issue2337 issue2344 bug0131 issue2346 bug0134 bug0136 bug015 issue2353 bug017 issue2356 bug018 issue2357 issue237 issue238 issue2381 issue2388 issue2393 issue2395 issue2396 issue2407 issue2410 issue2417 issue242 issue2421 bug019 issue2422 bug02 issue2424 bug022 bug023 issue2429 bug03 issue2430 issue2432 issue2435 issue2437 issue244 issue2440 issue2441 issue2445 issue2448 issue2452 issue2454 issue2459 issue2470 issue2471 issue2473 issue2476 issue2477 issue2480 bug035 issue2481 issue2486 issue2489 issue2497 issue2498 issue2502 issue2509 issue2516 issue2519 issue253 issue2536 issue254 issue2540 issue2549 issue2550 issue2556 issue256 issue2562 issue2564 issue2569 bug037 issue257 issue2570 bug04 issue2571 issue2579 issue2580 issue2581 issue259 issue2590 issue2594 issue26 issue262 issue2620 issue2626 issue2631 issue2645 issue290 issue293 issue30 issue300 issue301 issue310 issue317 issue320 issue321 issue328 issue332 issue339 issue369 issue371 bug040 bug042 issue375 issue376 issue382 bug045 issue394 issue397 bug048 issue400 bug049 bug05 issue406 issue418 issue424 issue43 issue44 bug06 issue45 issue450 bug060 issue451 bug061 bug065 issue461 bug07 issue465 issue467 bug073 bug074 issue473 bug077 bug078 bug079 issue476 bug08 bug083 bug084 bug086 bug09 bug094 bug097 bug15638 bug15966 bug15993 bug16287 bug16695 bug17127 bug17203 bug17309 bug17545 bug17759 bug18280 bug18351 bug18359 issue50 bug18810 issue529 bug20312 issue530 bug20549 issue543 bug21487 issue547 bug21497 issue552 bug21500 bug23165 issue561 issue584 bug24064 issue598 issue606 issue610 bug7751 issue615 issue616 issue10 issue620 issue1038 issue626 issue1055 issue106 issue1063 issue1067 issue1120 issue1123 issue1125 issue1128 issue1129 issue1131 issue637 issue1137 issue641 issue1138 issue642 issue643 issue1145 issue645 issue646 issue648 issue1191 issue1201 issue660 issue663 issue1224 issue1226 issue664 issue1228 issue123 issue668 issue1233 issue672 issue1246 issue676 issue125 issue685 issue1256 issue687 issue1257 issue689 issue69 issue1262 issue1268 issue694 issue697 issue1292 issue1295 issue1300 issue710 issue713 issue715 issue1323 issue718 issue1338 issue73 issue1347 issue731 issue1354 issue1360 issue736 issue737 issue756 issue1361 issue776 issue780 issue786 issue787 issue1376 issue788 issue795 issue807 issue1389 issue818 issue1392 issue821 issue14 issue828 issue1404 issue852 issue1405 issue1415 issue874 issue1416 issue877 issue880 issue1419 issue885 issue1420 issue887 issue899 issue912 issue1429 issue913 issue1431 issue916 issue917 issue1440 issue918 issue1443 issue922 issue1453 issue961 issue98 issue1469 issue983 issue1474 issue984 lsp27 issue1493 issue15 issue150 issue1515 issue1523 issue1528 issue1549 issue158 issue1589 issue1593 issue1612 issue1625 issue163 issue1654 issue1657 issue1667 issue1672 issue1688 issue1689 issue1690 issue17 issue1704 issue1708 issue1715 issue1757 issue1764 issue1771 issue1772 issue18 issue1814 issue1818 issue1831 issue1832 issue1833 issue1836 issue1843 issue1844 issue1857 issue1862 issue1867 issue1872 issue1881 issue1898 issue190 issue1914 issue1924 issue1980 issue199 issue1994 issue2 issue20 issue202 issue2026 issue2051 issue2055 issue2065 issue2071 issue2091 issue2097 issue2098 issue2112 issue2115 issue2118 issue2136 issue2138 issue2141 issue2147 issue2152 issue2153 issue2155 issue2157 issue2166 issue2174 issue2175 issue2189 issue2190 issue2193 issue2212 issue2215 issue2216 issue2218 issue2223 perf02-long sr2655 sr2676 sr2737 ticket11 ticket14 ticket18 ticket19 ticket20 ticket24 ticket26 ticket32 ticket37 ticket38 ticket39 ticket53 ticket59 ticket61 ticket66 ticket69 ticket70 ticket71 ticket73 ticket74 ticket77 ticket78 ticket84 ticket86 ticket92 ticket94 ticket96 ) 723s autopkgtest [18:58:45]: test command2: -----------------------] 724s autopkgtest [18:58:46]: test command2: - - - - - - - - - - results - - - - - - - - - - 724s command2 FLAKY non-zero exit status 1 724s autopkgtest [18:58:46]: test command3: preparing testbed 788s autopkgtest [18:59:50]: testbed dpkg architecture: s390x 789s autopkgtest [18:59:51]: testbed apt version: 2.7.14build2 789s autopkgtest [18:59:51]: @@@@@@@@@@@@@@@@@@@@ test bed setup 789s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [265 kB] 790s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [2292 B] 790s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [48.2 kB] 790s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [4708 B] 790s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [102 kB] 790s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main s390x Packages [95.6 kB] 790s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main s390x c-n-f Metadata [3252 B] 790s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x Packages [1176 B] 790s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted s390x c-n-f Metadata [116 B] 790s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x Packages [596 kB] 790s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x c-n-f Metadata [5732 B] 790s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x Packages [772 B] 790s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse s390x c-n-f Metadata [172 B] 792s Fetched 1125 kB in 1s (1405 kB/s) 792s Reading package lists... 794s Reading package lists... 794s Building dependency tree... 794s Reading state information... 794s Calculating upgrade... 794s The following packages will be upgraded: 794s libclang-cpp18 libclang1-18 libllvm18 794s 3 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 794s Need to get 58.4 MB of archives. 794s After this operation, 3933 kB disk space will be freed. 794s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libclang-cpp18 s390x 1:18.1.8-9ubuntu1~24.04 [15.9 MB] 795s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libllvm18 s390x 1:18.1.8-9ubuntu1~24.04 [33.2 MB] 797s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libclang1-18 s390x 1:18.1.8-9ubuntu1~24.04 [9298 kB] 797s Fetched 58.4 MB in 3s (20.0 MB/s) 797s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 78297 files and directories currently installed.) 797s Preparing to unpack .../libclang-cpp18_1%3a18.1.8-9ubuntu1~24.04_s390x.deb ... 797s Unpacking libclang-cpp18 (1:18.1.8-9ubuntu1~24.04) over (1:18.1.3-1ubuntu1) ... 798s Preparing to unpack .../libllvm18_1%3a18.1.8-9ubuntu1~24.04_s390x.deb ... 798s Unpacking libllvm18:s390x (1:18.1.8-9ubuntu1~24.04) over (1:18.1.3-1ubuntu1) ... 798s Preparing to unpack .../libclang1-18_1%3a18.1.8-9ubuntu1~24.04_s390x.deb ... 798s Unpacking libclang1-18 (1:18.1.8-9ubuntu1~24.04) over (1:18.1.3-1ubuntu1) ... 798s Setting up libllvm18:s390x (1:18.1.8-9ubuntu1~24.04) ... 798s Setting up libclang1-18 (1:18.1.8-9ubuntu1~24.04) ... 798s Setting up libclang-cpp18 (1:18.1.8-9ubuntu1~24.04) ... 798s Processing triggers for libc-bin (2.39-0ubuntu8.3) ... 799s Reading package lists... 799s Building dependency tree... 799s Reading state information... 799s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 799s Hit:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease 800s Hit:2 http://ftpmaster.internal/ubuntu noble InRelease 800s Hit:3 http://ftpmaster.internal/ubuntu noble-updates InRelease 800s Hit:4 http://ftpmaster.internal/ubuntu noble-security InRelease 800s Reading package lists... 801s Reading package lists... 801s Building dependency tree... 801s Reading state information... 801s Calculating upgrade... 801s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 801s Reading package lists... 801s Building dependency tree... 801s Reading state information... 801s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 805s Reading package lists... 805s Building dependency tree... 805s Reading state information... 805s Starting pkgProblemResolver with broken count: 1 805s Starting 2 pkgProblemResolver with broken count: 1 805s Investigating (0) autopkgtest-satdep:s390x < none -> 0 @un puN Ib > 805s Broken autopkgtest-satdep:s390x Depends on ghdl-mcode:s390x < none @un H > 805s Broken autopkgtest-satdep:s390x Depends on gcc:s390x < none | 4:13.2.0-7ubuntu1 @un umH > 805s Considering gcc:s390x 2 as a solution to autopkgtest-satdep:s390x 9998 805s Re-Instated gcc-13-base:s390x 805s Re-Instated libisl23:s390x 805s Re-Instated libmpc3:s390x 805s Re-Instated cpp-13-s390x-linux-gnu:s390x 805s Re-Instated cpp-13:s390x 805s Re-Instated cpp-s390x-linux-gnu:s390x 805s Re-Instated cpp:s390x 805s Re-Instated libcc1-0:s390x 805s Re-Instated libgomp1:s390x 805s Re-Instated libitm1:s390x 805s Re-Instated libatomic1:s390x 805s Re-Instated libasan8:s390x 805s Re-Instated libubsan1:s390x 805s Re-Instated libgcc-13-dev:s390x 805s Re-Instated gcc-13-s390x-linux-gnu:s390x 805s Re-Instated gcc-13:s390x 805s Re-Instated gcc-s390x-linux-gnu:s390x 805s Re-Instated gcc:s390x 805s Broken autopkgtest-satdep:s390x Depends on ghdl-tools:s390x < none | 4.1.0+dfsg-0ubuntu2 @un uH > 805s Considering ghdl-tools:s390x 1 as a solution to autopkgtest-satdep:s390x 9998 805s Re-Instated ghdl-tools:s390x 805s Done 805s Some packages could not be installed. This may mean that you have 805s requested an impossible situation or if you are using the unstable 805s distribution that some required packages have not yet been created 805s or been moved out of Incoming. 805s The following information may help to resolve the situation: 805s 805s The following packages have unmet dependencies: 805s autopkgtest-satdep : Depends: ghdl-mcode but it is not installable 805s E: Unable to correct problems, you have held broken packages. 805s autopkgtest: WARNING: Test dependencies are unsatisfiable with using apt pinning. Retrying with using all packages from noble-proposed 806s Reading package lists... 806s Building dependency tree... 806s Reading state information... 806s Starting pkgProblemResolver with broken count: 1 806s Starting 2 pkgProblemResolver with broken count: 1 806s Investigating (0) autopkgtest-satdep:s390x < none -> 0 @un puN Ib > 806s Broken autopkgtest-satdep:s390x Depends on ghdl-mcode:s390x < none @un H > 806s Broken autopkgtest-satdep:s390x Depends on gcc:s390x < none | 4:13.2.0-7ubuntu1 @un umH > 806s Considering gcc:s390x 2 as a solution to autopkgtest-satdep:s390x 9998 806s Re-Instated gcc-13-base:s390x 806s Re-Instated libisl23:s390x 806s Re-Instated libmpc3:s390x 806s Re-Instated cpp-13-s390x-linux-gnu:s390x 806s Re-Instated cpp-13:s390x 806s Re-Instated cpp-s390x-linux-gnu:s390x 806s Re-Instated cpp:s390x 806s Re-Instated gcc-14-base:s390x 806s Re-Instated libcc1-0:s390x 806s Re-Instated libgomp1:s390x 806s Re-Instated libitm1:s390x 806s Re-Instated libatomic1:s390x 806s Re-Instated libasan8:s390x 806s Re-Instated libubsan1:s390x 806s Re-Instated libgcc-13-dev:s390x 806s Re-Instated gcc-13-s390x-linux-gnu:s390x 806s Re-Instated gcc-13:s390x 806s Re-Instated gcc-s390x-linux-gnu:s390x 806s Re-Instated gcc:s390x 806s Broken autopkgtest-satdep:s390x Depends on ghdl-tools:s390x < none | 4.1.0+dfsg-0ubuntu2 @un uH > 806s Considering ghdl-tools:s390x 1 as a solution to autopkgtest-satdep:s390x 9998 806s Re-Instated ghdl-tools:s390x 806s Investigating (0) libgcc-s1:s390x < 14-20240412-0ubuntu1 | 14.2.0-4ubuntu2~24.04 @ii umH Ib > 806s Broken libgcc-s1:s390x Depends on gcc-14-base:s390x < 14-20240412-0ubuntu1 -> 14.2.0-4ubuntu2~24.04 @ii umU > (= 14-20240412-0ubuntu1) 806s Considering gcc-14-base:s390x 163 as a solution to libgcc-s1:s390x 5730 806s Added gcc-14-base:s390x to the remove list 806s Fixing libgcc-s1:s390x via keep of gcc-14-base:s390x 806s Investigating (0) libitm1:s390x < none -> 14.2.0-4ubuntu2~24.04 @un uN Ib > 806s Broken libitm1:s390x Depends on gcc-14-base:s390x < 14-20240412-0ubuntu1 | 14.2.0-4ubuntu2~24.04 @ii umH > (= 14.2.0-4ubuntu2~24.04) 806s Considering gcc-14-base:s390x 163 as a solution to libitm1:s390x 0 806s Holding Back libitm1:s390x rather than change gcc-14-base:s390x 806s Investigating (0) libasan8:s390x < none -> 14.2.0-4ubuntu2~24.04 @un uN Ib > 806s Broken libasan8:s390x Depends on gcc-14-base:s390x < 14-20240412-0ubuntu1 | 14.2.0-4ubuntu2~24.04 @ii umH > (= 14.2.0-4ubuntu2~24.04) 806s Considering gcc-14-base:s390x 163 as a solution to libasan8:s390x 0 806s Holding Back libasan8:s390x rather than change gcc-14-base:s390x 806s Investigating (0) libatomic1:s390x < none -> 14.2.0-4ubuntu2~24.04 @un uN Ib > 806s Broken libatomic1:s390x Depends on gcc-14-base:s390x < 14-20240412-0ubuntu1 | 14.2.0-4ubuntu2~24.04 @ii umH > (= 14.2.0-4ubuntu2~24.04) 806s Considering gcc-14-base:s390x 163 as a solution to libatomic1:s390x 0 806s Holding Back libatomic1:s390x rather than change gcc-14-base:s390x 806s Investigating (0) libubsan1:s390x < none -> 14.2.0-4ubuntu2~24.04 @un uN Ib > 806s Broken libubsan1:s390x Depends on gcc-14-base:s390x < 14-20240412-0ubuntu1 | 14.2.0-4ubuntu2~24.04 @ii umH > (= 14.2.0-4ubuntu2~24.04) 806s Considering gcc-14-base:s390x 163 as a solution to libubsan1:s390x 0 806s Holding Back libubsan1:s390x rather than change gcc-14-base:s390x 806s Investigating (0) libgomp1:s390x < none -> 14.2.0-4ubuntu2~24.04 @un uN Ib > 806s Broken libgomp1:s390x Depends on gcc-14-base:s390x < 14-20240412-0ubuntu1 | 14.2.0-4ubuntu2~24.04 @ii umH > (= 14.2.0-4ubuntu2~24.04) 806s Considering gcc-14-base:s390x 163 as a solution to libgomp1:s390x 0 806s Holding Back libgomp1:s390x rather than change gcc-14-base:s390x 806s Investigating (0) libgcc-13-dev:s390x < none -> 13.3.0-6ubuntu2~24.04 @un uN Ib > 806s Broken libgcc-13-dev:s390x Depends on libgomp1:s390x < none | 14.2.0-4ubuntu2~24.04 @un uH > (>= 13.3.0-6ubuntu2~24.04) 806s Considering libgomp1:s390x 0 as a solution to libgcc-13-dev:s390x 0 806s Holding Back libgcc-13-dev:s390x rather than change libgomp1:s390x 806s Investigating (0) libcc1-0:s390x < none -> 14.2.0-4ubuntu2~24.04 @un uN Ib > 806s Broken libcc1-0:s390x Depends on gcc-14-base:s390x < 14-20240412-0ubuntu1 | 14.2.0-4ubuntu2~24.04 @ii umH > (= 14.2.0-4ubuntu2~24.04) 806s Considering gcc-14-base:s390x 163 as a solution to libcc1-0:s390x 0 806s Holding Back libcc1-0:s390x rather than change gcc-14-base:s390x 806s Investigating (1) autopkgtest-satdep:s390x < none -> 0 @un puN Ib > 806s Broken autopkgtest-satdep:s390x Depends on ghdl-mcode:s390x < none @un H > 806s Investigating (1) gcc-13-s390x-linux-gnu:s390x < none -> 13.3.0-6ubuntu2~24.04 @un uN Ib > 806s Broken gcc-13-s390x-linux-gnu:s390x Depends on libcc1-0:s390x < none | 14.2.0-4ubuntu2~24.04 @un uH > (>= 13.3.0-6ubuntu2~24.04) 806s Considering libcc1-0:s390x 0 as a solution to gcc-13-s390x-linux-gnu:s390x 0 806s Holding Back gcc-13-s390x-linux-gnu:s390x rather than change libcc1-0:s390x 806s Investigating (1) gcc-s390x-linux-gnu:s390x < none -> 4:13.2.0-7ubuntu1 @un uN Ib > 806s Broken gcc-s390x-linux-gnu:s390x Depends on gcc-13-s390x-linux-gnu:s390x < none | 13.3.0-6ubuntu2~24.04 @un uH > (>= 13.2.0-11~) 806s Considering gcc-13-s390x-linux-gnu:s390x 0 as a solution to gcc-s390x-linux-gnu:s390x 0 806s Holding Back gcc-s390x-linux-gnu:s390x rather than change gcc-13-s390x-linux-gnu:s390x 806s Investigating (1) gcc-13:s390x < none -> 13.3.0-6ubuntu2~24.04 @un uN Ib > 806s Broken gcc-13:s390x Depends on gcc-13-s390x-linux-gnu:s390x < none | 13.3.0-6ubuntu2~24.04 @un uH > (= 13.3.0-6ubuntu2~24.04) 806s Considering gcc-13-s390x-linux-gnu:s390x 0 as a solution to gcc-13:s390x 0 806s Holding Back gcc-13:s390x rather than change gcc-13-s390x-linux-gnu:s390x 806s Investigating (2) autopkgtest-satdep:s390x < none -> 0 @un puN Ib > 806s Broken autopkgtest-satdep:s390x Depends on ghdl-mcode:s390x < none @un H > 806s Investigating (2) gcc:s390x < none -> 4:13.2.0-7ubuntu1 @un umN Ib > 806s Broken gcc:s390x Depends on gcc-13:s390x < none | 13.3.0-6ubuntu2~24.04 @un uH > (>= 13.2.0-11~) 806s Considering gcc-13:s390x 0 as a solution to gcc:s390x 2 806s Holding Back gcc:s390x rather than change gcc-13:s390x 806s Investigating (3) autopkgtest-satdep:s390x < none -> 0 @un puN Ib > 806s Broken autopkgtest-satdep:s390x Depends on ghdl-mcode:s390x < none @un H > 806s Broken autopkgtest-satdep:s390x Depends on gcc:s390x < none | 4:13.2.0-7ubuntu1 @un umH > 806s Considering gcc:s390x 2 as a solution to autopkgtest-satdep:s390x 9998 806s Done 806s Some packages could not be installed. This may mean that you have 806s requested an impossible situation or if you are using the unstable 806s distribution that some required packages have not yet been created 806s or been moved out of Incoming. 806s The following information may help to resolve the situation: 806s 806s The following packages have unmet dependencies: 806s autopkgtest-satdep : Depends: ghdl-mcode but it is not installable 806s Depends: gcc but it is not going to be installed 806s E: Unable to correct problems, you have held broken packages. 806s autopkgtest: WARNING: Test dependencies are unsatisfiable - calling apt install on test deps directly for further data about failing dependencies in test logs 806s Reading package lists... 806s Building dependency tree... 806s Reading state information... 807s E: Unable to locate package ghdl-mcode 807s command3 SKIP installation fails and skip-not-installable set 807s autopkgtest [19:00:09]: @@@@@@@@@@@@@@@@@@@@ summary 807s command1 PASS 807s command2 FLAKY non-zero exit status 1 807s command3 SKIP installation fails and skip-not-installable set 811s nova [W] Using flock in prodstack6-s390x 811s Creating nova instance adt-noble-s390x-ghdl-20241009-184641-juju-7f2275-prod-proposed-migration-environment-2-e008e2c2-05b3-4153-9da7-3bd913bb98ce from image adt/ubuntu-noble-s390x-server-20241009.img (UUID bbfeaad9-8940-4651-bf03-803310f5068e)... 811s nova [W] Using flock in prodstack6-s390x 811s Creating nova instance adt-noble-s390x-ghdl-20241009-184641-juju-7f2275-prod-proposed-migration-environment-2-e008e2c2-05b3-4153-9da7-3bd913bb98ce from image adt/ubuntu-noble-s390x-server-20241009.img (UUID bbfeaad9-8940-4651-bf03-803310f5068e)... 811s nova [W] Using flock in prodstack6-s390x 811s Creating nova instance adt-noble-s390x-ghdl-20241009-184641-juju-7f2275-prod-proposed-migration-environment-2-e008e2c2-05b3-4153-9da7-3bd913bb98ce from image adt/ubuntu-noble-s390x-server-20241009.img (UUID bbfeaad9-8940-4651-bf03-803310f5068e)...