0s autopkgtest [10:48:28]: starting date and time: 2024-03-26 10:48:28+0000 0s autopkgtest [10:48:28]: git checkout: 4a1cd702 l/adt_testbed: don't blame the testbed for unsolvable build deps 0s autopkgtest [10:48:28]: host juju-7f2275-prod-proposed-migration-environment-2; command line: /home/ubuntu/autopkgtest/runner/autopkgtest --output-dir /tmp/autopkgtest-work.w7j32ss0/out --timeout-copy=6000 --setup-commands /home/ubuntu/autopkgtest-cloud/worker-config-production/setup-canonical.sh --setup-commands /home/ubuntu/autopkgtest/setup-commands/setup-testbed --apt-pocket=proposed --apt-upgrade yosys --timeout-short=300 --timeout-copy=20000 --timeout-build=20000 --env=ADT_TEST_TRIGGERS=python3-defaults/3.12.2-0ubuntu1 -- ssh -s /home/ubuntu/autopkgtest/ssh-setup/nova -- --flavor autopkgtest --security-groups autopkgtest-juju-7f2275-prod-proposed-migration-environment-2@bos02-ppc64el-2.secgroup --name adt-noble-ppc64el-yosys-20240326-104827-juju-7f2275-prod-proposed-migration-environment-2 --image adt/ubuntu-noble-ppc64el-server --keyname testbed-juju-7f2275-prod-proposed-migration-environment-2 --net-id=net_prod-proposed-migration -e TERM=linux -e ''"'"'http_proxy=http://squid.internal:3128'"'"'' -e ''"'"'https_proxy=http://squid.internal:3128'"'"'' -e ''"'"'no_proxy=127.0.0.1,127.0.1.1,login.ubuntu.com,localhost,localdomain,novalocal,internal,archive.ubuntu.com,ports.ubuntu.com,security.ubuntu.com,ddebs.ubuntu.com,changelogs.ubuntu.com,launchpadlibrarian.net,launchpadcontent.net,launchpad.net,10.24.0.0/24,keystone.ps5.canonical.com,objectstorage.prodstack5.canonical.com'"'"'' --mirror=http://ftpmaster.internal/ubuntu/ 153s autopkgtest [10:51:01]: testbed dpkg architecture: ppc64el 153s autopkgtest [10:51:01]: testbed apt version: 2.7.12 153s autopkgtest [10:51:01]: @@@@@@@@@@@@@@@@@@@@ test bed setup 154s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] 154s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [496 kB] 155s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [56.0 kB] 155s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [3982 kB] 156s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [8504 B] 156s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main ppc64el Packages [697 kB] 156s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main ppc64el c-n-f Metadata [3116 B] 156s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted ppc64el Packages [1372 B] 156s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted ppc64el c-n-f Metadata [116 B] 156s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe ppc64el Packages [4220 kB] 157s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe ppc64el c-n-f Metadata [8652 B] 157s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse ppc64el Packages [61.7 kB] 157s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse ppc64el c-n-f Metadata [116 B] 160s Fetched 9651 kB in 4s (2474 kB/s) 160s Reading package lists... 162s Reading package lists... 162s Building dependency tree... 162s Reading state information... 162s Calculating upgrade... 163s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 163s Reading package lists... 163s Building dependency tree... 163s Reading state information... 163s 0 upgraded, 0 newly installed, 0 to remove and 246 not upgraded. 164s sh: Attempting to set up Debian/Ubuntu apt sources automatically 164s Reading package lists...sh: Distribution appears to be Ubuntu 164s 165s Building dependency tree... 165s Reading state information... 165s eatmydata is already the newest version (131-1). 165s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 165s Reading package lists... 165s Building dependency tree... 165s Reading state information... 165s dbus is already the newest version (1.14.10-4ubuntu1). 165s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 165s Reading package lists... 165s Building dependency tree... 165s Reading state information... 165s rng-tools-debian is already the newest version (2.4). 165s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 165s Reading package lists... 166s Building dependency tree... 166s Reading state information... 166s The following packages will be REMOVED: 166s cloud-init* python3-configobj* python3-debconf* 166s 0 upgraded, 0 newly installed, 3 to remove and 0 not upgraded. 166s After this operation, 3256 kB disk space will be freed. 166s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 70156 files and directories currently installed.) 166s Removing cloud-init (24.1.2-0ubuntu1) ... 167s Removing python3-configobj (5.0.8-3) ... 167s Removing python3-debconf (1.5.86) ... 167s Processing triggers for man-db (2.12.0-3) ... 167s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 69767 files and directories currently installed.) 167s Purging configuration files for cloud-init (24.1.2-0ubuntu1) ... 168s dpkg: warning: while removing cloud-init, directory '/etc/cloud/cloud.cfg.d' not empty so not removed 168s Processing triggers for rsyslog (8.2312.0-3ubuntu3) ... 168s invoke-rc.d: policy-rc.d denied execution of try-restart. 168s Reading package lists... 168s Building dependency tree... 168s Reading state information... 168s linux-generic is already the newest version (6.8.0-11.11+1). 168s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 169s Hit:1 http://ftpmaster.internal/ubuntu noble InRelease 169s Hit:2 http://ftpmaster.internal/ubuntu noble-updates InRelease 169s Hit:3 http://ftpmaster.internal/ubuntu noble-security InRelease 171s Reading package lists... 172s Reading package lists... 172s Building dependency tree... 172s Reading state information... 172s Calculating upgrade... 172s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 172s Reading package lists... 172s Building dependency tree... 172s Reading state information... 172s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 173s autopkgtest [10:51:21]: rebooting testbed after setup commands that affected boot 335s autopkgtest [10:54:03]: testbed running kernel: Linux 6.8.0-11-generic #11-Ubuntu SMP Wed Feb 14 00:33:03 UTC 2024 338s autopkgtest [10:54:06]: @@@@@@@@@@@@@@@@@@@@ apt-source yosys 343s Get:1 http://ftpmaster.internal/ubuntu noble/universe yosys 0.23-6 (dsc) [2520 B] 343s Get:2 http://ftpmaster.internal/ubuntu noble/universe yosys 0.23-6 (tar) [2369 kB] 343s Get:3 http://ftpmaster.internal/ubuntu noble/universe yosys 0.23-6 (diff) [18.0 kB] 343s gpgv: Signature made Sat Dec 3 22:16:42 2022 UTC 343s gpgv: using RSA key 57A1BF15B4F6F99B89EDB29FD39481AE1E79ACF7 343s gpgv: Can't check signature: No public key 343s dpkg-source: warning: cannot verify inline signature for ./yosys_0.23-6.dsc: no acceptable signature found 343s autopkgtest [10:54:11]: testing package yosys version 0.23-6 344s autopkgtest [10:54:12]: build not needed 348s autopkgtest [10:54:16]: test ice: preparing testbed 349s Reading package lists... 350s Building dependency tree... 350s Reading state information... 350s Starting pkgProblemResolver with broken count: 0 350s Starting 2 pkgProblemResolver with broken count: 0 350s Done 350s The following additional packages will be installed: 350s adwaita-icon-theme at-spi2-common berkeley-abc dconf-gsettings-backend 350s dconf-service fontconfig fontconfig-config fonts-dejavu-core 350s fonts-dejavu-mono gir1.2-atk-1.0 gir1.2-freedesktop gir1.2-gdkpixbuf-2.0 350s gir1.2-gtk-3.0 gir1.2-harfbuzz-0.0 gir1.2-pango-1.0 graphviz 350s gtk-update-icon-cache hicolor-icon-theme humanity-icon-theme libann0 350s libatk-bridge2.0-0 libatk1.0-0 libatspi2.0-0 libavahi-client3 350s libavahi-common-data libavahi-common3 libblas3 libc-dev-bin libc6-dev 350s libcairo-gobject2 libcairo2 libcdt5 libcgraph6 libcolord2 libcrypt-dev 350s libcups2 libdatrie1 libdconf1 libdeflate0 libepoxy0 libffi-dev 350s libfontconfig1 libgd3 libgdk-pixbuf-2.0-0 libgdk-pixbuf2.0-common 350s libgfortran5 libgraphite2-3 libgtk-3-0 libgtk-3-common libgts-0.7-5 libgvc6 350s libgvpr2 libharfbuzz-gobject0 libharfbuzz0b libice6 libjbig0 libjpeg-turbo8 350s libjpeg8 liblab-gamut1 liblapack3 liblcms2-2 liblerc4 libltdl7 350s libncurses-dev libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 350s libpangoxft-1.0-0 libpathplan4 libpixman-1-0 libreadline-dev libsharpyuv0 350s libsm6 libtcl8.6 libthai-data libthai0 libtiff6 libwayland-client0 350s libwayland-cursor0 libwayland-egl1 libwebp7 libxaw7 libxcb-render0 350s libxcb-shm0 libxcomposite1 libxcursor1 libxdamage1 libxfixes3 libxft2 libxi6 350s libxinerama1 libxmu6 libxpm4 libxrandr2 libxrender1 libxt6 linux-libc-dev 350s python3-cairo python3-click python3-colorama python3-gi-cairo python3-numpy 350s rpcsvc-proto tcl tcl-dev tcl8.6 tcl8.6-dev ubuntu-mono x11-common xdot yosys 350s yosys-dev yosys-doc zlib1g-dev 350s Suggested packages: 350s gsfonts graphviz-doc glibc-doc manpages-dev colord cups-common libgd-tools 350s gvfs liblcms2-utils ncurses-doc readline-doc gcc gfortran python3-dev 350s python3-pytest tcl-doc tcl-tclreadline tcl8.6-doc 350s Recommended packages: 350s librsvg2-common fonts-liberation2 at-spi2-core manpages manpages-dev 350s libc-devtools libgdk-pixbuf2.0-bin libgtk-3-bin libgts-bin 350s The following NEW packages will be installed: 350s adwaita-icon-theme at-spi2-common autopkgtest-satdep berkeley-abc 350s dconf-gsettings-backend dconf-service fontconfig fontconfig-config 350s fonts-dejavu-core fonts-dejavu-mono gir1.2-atk-1.0 gir1.2-freedesktop 350s gir1.2-gdkpixbuf-2.0 gir1.2-gtk-3.0 gir1.2-harfbuzz-0.0 gir1.2-pango-1.0 350s graphviz gtk-update-icon-cache hicolor-icon-theme humanity-icon-theme 350s libann0 libatk-bridge2.0-0 libatk1.0-0 libatspi2.0-0 libavahi-client3 350s libavahi-common-data libavahi-common3 libblas3 libc-dev-bin libc6-dev 350s libcairo-gobject2 libcairo2 libcdt5 libcgraph6 libcolord2 libcrypt-dev 350s libcups2 libdatrie1 libdconf1 libdeflate0 libepoxy0 libffi-dev 350s libfontconfig1 libgd3 libgdk-pixbuf-2.0-0 libgdk-pixbuf2.0-common 350s libgfortran5 libgraphite2-3 libgtk-3-0 libgtk-3-common libgts-0.7-5 libgvc6 350s libgvpr2 libharfbuzz-gobject0 libharfbuzz0b libice6 libjbig0 libjpeg-turbo8 350s libjpeg8 liblab-gamut1 liblapack3 liblcms2-2 liblerc4 libltdl7 350s libncurses-dev libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 350s libpangoxft-1.0-0 libpathplan4 libpixman-1-0 libreadline-dev libsharpyuv0 350s libsm6 libtcl8.6 libthai-data libthai0 libtiff6 libwayland-client0 350s libwayland-cursor0 libwayland-egl1 libwebp7 libxaw7 libxcb-render0 350s libxcb-shm0 libxcomposite1 libxcursor1 libxdamage1 libxfixes3 libxft2 libxi6 350s libxinerama1 libxmu6 libxpm4 libxrandr2 libxrender1 libxt6 linux-libc-dev 350s python3-cairo python3-click python3-colorama python3-gi-cairo python3-numpy 350s rpcsvc-proto tcl tcl-dev tcl8.6 tcl8.6-dev ubuntu-mono x11-common xdot yosys 350s yosys-dev yosys-doc zlib1g-dev 350s 0 upgraded, 115 newly installed, 0 to remove and 0 not upgraded. 350s Need to get 48.7 MB/48.7 MB of archives. 350s After this operation, 220 MB of additional disk space will be used. 350s Get:1 /tmp/autopkgtest.qdTHrq/1-autopkgtest-satdep.deb autopkgtest-satdep ppc64el 0 [716 B] 350s Get:2 http://ftpmaster.internal/ubuntu noble/main ppc64el libgdk-pixbuf2.0-common all 2.42.10+dfsg-3 [7624 B] 350s Get:3 http://ftpmaster.internal/ubuntu noble/main ppc64el libjpeg-turbo8 ppc64el 2.1.5-2ubuntu1 [212 kB] 350s Get:4 http://ftpmaster.internal/ubuntu noble/main ppc64el libjpeg8 ppc64el 8c-2ubuntu11 [2148 B] 350s Get:5 http://ftpmaster.internal/ubuntu noble/main ppc64el libdeflate0 ppc64el 1.19-1 [61.9 kB] 351s Get:6 http://ftpmaster.internal/ubuntu noble/main ppc64el libjbig0 ppc64el 2.1-6.1ubuntu1 [34.7 kB] 351s Get:7 http://ftpmaster.internal/ubuntu noble/main ppc64el liblerc4 ppc64el 4.0.0+ds-4ubuntu1 [266 kB] 351s Get:8 http://ftpmaster.internal/ubuntu noble/main ppc64el libsharpyuv0 ppc64el 1.3.2-0.4 [28.7 kB] 351s Get:9 http://ftpmaster.internal/ubuntu noble/main ppc64el libwebp7 ppc64el 1.3.2-0.4 [312 kB] 351s Get:10 http://ftpmaster.internal/ubuntu noble/main ppc64el libtiff6 ppc64el 4.5.1+git230720-3ubuntu1 [323 kB] 351s Get:11 http://ftpmaster.internal/ubuntu noble/main ppc64el libgdk-pixbuf-2.0-0 ppc64el 2.42.10+dfsg-3 [186 kB] 351s Get:12 http://ftpmaster.internal/ubuntu noble/main ppc64el gtk-update-icon-cache ppc64el 3.24.40-2ubuntu1 [53.2 kB] 351s Get:13 http://ftpmaster.internal/ubuntu noble/main ppc64el hicolor-icon-theme all 0.17-2 [9976 B] 351s Get:14 http://ftpmaster.internal/ubuntu noble/main ppc64el humanity-icon-theme all 0.6.16 [1282 kB] 351s Get:15 http://ftpmaster.internal/ubuntu noble/main ppc64el ubuntu-mono all 24.04-0ubuntu1 [151 kB] 352s Get:16 http://ftpmaster.internal/ubuntu noble/main ppc64el adwaita-icon-theme all 46~rc-1 [723 kB] 352s Get:17 http://ftpmaster.internal/ubuntu noble/main ppc64el at-spi2-common all 2.50.0-1 [7864 B] 352s Get:18 http://ftpmaster.internal/ubuntu noble/universe ppc64el berkeley-abc ppc64el 1.01+20230625git01b1bd1+dfsg-3 [5606 kB] 353s Get:19 http://ftpmaster.internal/ubuntu noble/main ppc64el libdconf1 ppc64el 0.40.0-4 [43.0 kB] 353s Get:20 http://ftpmaster.internal/ubuntu noble/main ppc64el dconf-service ppc64el 0.40.0-4 [31.0 kB] 353s Get:21 http://ftpmaster.internal/ubuntu noble/main ppc64el dconf-gsettings-backend ppc64el 0.40.0-4 [25.3 kB] 353s Get:22 http://ftpmaster.internal/ubuntu noble/main ppc64el fonts-dejavu-mono all 2.37-8 [502 kB] 353s Get:23 http://ftpmaster.internal/ubuntu noble/main ppc64el fonts-dejavu-core all 2.37-8 [835 kB] 353s Get:24 http://ftpmaster.internal/ubuntu noble/main ppc64el fontconfig-config ppc64el 2.15.0-1ubuntu1 [37.0 kB] 353s Get:25 http://ftpmaster.internal/ubuntu noble/main ppc64el libfontconfig1 ppc64el 2.15.0-1ubuntu1 [190 kB] 353s Get:26 http://ftpmaster.internal/ubuntu noble/main ppc64el fontconfig ppc64el 2.15.0-1ubuntu1 [192 kB] 353s Get:27 http://ftpmaster.internal/ubuntu noble/main ppc64el libatk1.0-0 ppc64el 2.50.0-1 [57.8 kB] 353s Get:28 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-atk-1.0 ppc64el 2.50.0-1 [22.8 kB] 353s Get:29 http://ftpmaster.internal/ubuntu noble/main ppc64el libpixman-1-0 ppc64el 0.42.2-1 [300 kB] 353s Get:30 http://ftpmaster.internal/ubuntu noble/main ppc64el libxcb-render0 ppc64el 1.15-1 [17.2 kB] 353s Get:31 http://ftpmaster.internal/ubuntu noble/main ppc64el libxcb-shm0 ppc64el 1.15-1 [5896 B] 353s Get:32 http://ftpmaster.internal/ubuntu noble/main ppc64el libxrender1 ppc64el 1:0.9.10-1.1 [23.3 kB] 353s Get:33 http://ftpmaster.internal/ubuntu noble/main ppc64el libcairo2 ppc64el 1.18.0-1 [735 kB] 353s Get:34 http://ftpmaster.internal/ubuntu noble/main ppc64el libcairo-gobject2 ppc64el 1.18.0-1 [127 kB] 353s Get:35 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-freedesktop ppc64el 1.79.1-1 [48.5 kB] 353s Get:36 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-gdkpixbuf-2.0 ppc64el 2.42.10+dfsg-3 [9480 B] 353s Get:37 http://ftpmaster.internal/ubuntu noble/main ppc64el libgraphite2-3 ppc64el 1.3.14-2 [105 kB] 353s Get:38 http://ftpmaster.internal/ubuntu noble/main ppc64el libharfbuzz0b ppc64el 8.3.0-2 [576 kB] 353s Get:39 http://ftpmaster.internal/ubuntu noble/main ppc64el libharfbuzz-gobject0 ppc64el 8.3.0-2 [34.7 kB] 353s Get:40 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-harfbuzz-0.0 ppc64el 8.3.0-2 [44.5 kB] 353s Get:41 http://ftpmaster.internal/ubuntu noble/main ppc64el libthai-data all 0.1.29-2 [158 kB] 353s Get:42 http://ftpmaster.internal/ubuntu noble/main ppc64el libdatrie1 ppc64el 0.2.13-3 [25.1 kB] 353s Get:43 http://ftpmaster.internal/ubuntu noble/main ppc64el libthai0 ppc64el 0.1.29-2 [21.4 kB] 354s Get:44 http://ftpmaster.internal/ubuntu noble/main ppc64el libpango-1.0-0 ppc64el 1.51.0+ds-4 [266 kB] 354s Get:45 http://ftpmaster.internal/ubuntu noble/main ppc64el libpangoft2-1.0-0 ppc64el 1.51.0+ds-4 [49.5 kB] 354s Get:46 http://ftpmaster.internal/ubuntu noble/main ppc64el libpangocairo-1.0-0 ppc64el 1.51.0+ds-4 [31.2 kB] 354s Get:47 http://ftpmaster.internal/ubuntu noble/main ppc64el libxft2 ppc64el 2.3.6-1 [59.8 kB] 354s Get:48 http://ftpmaster.internal/ubuntu noble/main ppc64el libpangoxft-1.0-0 ppc64el 1.51.0+ds-4 [23.0 kB] 354s Get:49 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-pango-1.0 ppc64el 1.51.0+ds-4 [34.9 kB] 354s Get:50 http://ftpmaster.internal/ubuntu noble/main ppc64el libxi6 ppc64el 2:1.8.1-1 [37.9 kB] 354s Get:51 http://ftpmaster.internal/ubuntu noble/main ppc64el libatspi2.0-0 ppc64el 2.50.0-1 [93.5 kB] 354s Get:52 http://ftpmaster.internal/ubuntu noble/main ppc64el libatk-bridge2.0-0 ppc64el 2.50.0-1 [75.5 kB] 354s Get:53 http://ftpmaster.internal/ubuntu noble/main ppc64el liblcms2-2 ppc64el 2.14-2 [243 kB] 354s Get:54 http://ftpmaster.internal/ubuntu noble/main ppc64el libcolord2 ppc64el 1.4.7-1 [164 kB] 354s Get:55 http://ftpmaster.internal/ubuntu noble/main ppc64el libavahi-common-data ppc64el 0.8-13ubuntu2 [29.5 kB] 354s Get:56 http://ftpmaster.internal/ubuntu noble/main ppc64el libavahi-common3 ppc64el 0.8-13ubuntu2 [25.8 kB] 354s Get:57 http://ftpmaster.internal/ubuntu noble/main ppc64el libavahi-client3 ppc64el 0.8-13ubuntu2 [30.6 kB] 354s Get:58 http://ftpmaster.internal/ubuntu noble/main ppc64el libcups2 ppc64el 2.4.6-0ubuntu3 [344 kB] 354s Get:59 http://ftpmaster.internal/ubuntu noble/main ppc64el libepoxy0 ppc64el 1.5.10-1 [247 kB] 354s Get:60 http://ftpmaster.internal/ubuntu noble/main ppc64el libwayland-client0 ppc64el 1.22.0-2.1 [29.4 kB] 354s Get:61 http://ftpmaster.internal/ubuntu noble/main ppc64el libwayland-cursor0 ppc64el 1.22.0-2.1 [11.4 kB] 354s Get:62 http://ftpmaster.internal/ubuntu noble/main ppc64el libwayland-egl1 ppc64el 1.22.0-2.1 [5626 B] 354s Get:63 http://ftpmaster.internal/ubuntu noble/main ppc64el libxcomposite1 ppc64el 1:0.4.5-1build2 [7400 B] 354s Get:64 http://ftpmaster.internal/ubuntu noble/main ppc64el libxfixes3 ppc64el 1:6.0.0-2 [11.6 kB] 354s Get:65 http://ftpmaster.internal/ubuntu noble/main ppc64el libxcursor1 ppc64el 1:1.2.1-1 [26.9 kB] 354s Get:66 http://ftpmaster.internal/ubuntu noble/main ppc64el libxdamage1 ppc64el 1:1.1.6-1 [6320 B] 354s Get:67 http://ftpmaster.internal/ubuntu noble/main ppc64el libxinerama1 ppc64el 2:1.1.4-3 [7658 B] 354s Get:68 http://ftpmaster.internal/ubuntu noble/main ppc64el libxrandr2 ppc64el 2:1.5.2-2 [22.7 kB] 354s Get:69 http://ftpmaster.internal/ubuntu noble/main ppc64el libgtk-3-common all 3.24.40-2ubuntu1 [1200 kB] 354s Get:70 http://ftpmaster.internal/ubuntu noble/main ppc64el libgtk-3-0 ppc64el 3.24.40-2ubuntu1 [3344 kB] 354s Get:71 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-gtk-3.0 ppc64el 3.24.40-2ubuntu1 [245 kB] 354s Get:72 http://ftpmaster.internal/ubuntu noble/universe ppc64el libann0 ppc64el 1.1.2+doc-9 [30.0 kB] 354s Get:73 http://ftpmaster.internal/ubuntu noble/universe ppc64el libcdt5 ppc64el 2.42.2-8build1 [27.3 kB] 354s Get:74 http://ftpmaster.internal/ubuntu noble/universe ppc64el libcgraph6 ppc64el 2.42.2-8build1 [54.3 kB] 354s Get:75 http://ftpmaster.internal/ubuntu noble/main ppc64el libxpm4 ppc64el 1:3.5.17-1 [48.6 kB] 354s Get:76 http://ftpmaster.internal/ubuntu noble/main ppc64el libgd3 ppc64el 2.3.3-9ubuntu1 [155 kB] 354s Get:77 http://ftpmaster.internal/ubuntu noble/universe ppc64el libgts-0.7-5 ppc64el 0.7.6+darcs121130-5 [189 kB] 354s Get:78 http://ftpmaster.internal/ubuntu noble/main ppc64el libltdl7 ppc64el 2.4.7-7 [48.0 kB] 354s Get:79 http://ftpmaster.internal/ubuntu noble/universe ppc64el libpathplan4 ppc64el 2.42.2-8build1 [30.1 kB] 355s Get:80 http://ftpmaster.internal/ubuntu noble/universe ppc64el libgvc6 ppc64el 2.42.2-8build1 [919 kB] 355s Get:81 http://ftpmaster.internal/ubuntu noble/universe ppc64el libgvpr2 ppc64el 2.42.2-8build1 [210 kB] 355s Get:82 http://ftpmaster.internal/ubuntu noble/universe ppc64el liblab-gamut1 ppc64el 2.42.2-8build1 [1860 kB] 355s Get:83 http://ftpmaster.internal/ubuntu noble/main ppc64el x11-common all 1:7.7+23ubuntu2 [23.4 kB] 355s Get:84 http://ftpmaster.internal/ubuntu noble/main ppc64el libice6 ppc64el 2:1.0.10-1build2 [49.3 kB] 355s Get:85 http://ftpmaster.internal/ubuntu noble/main ppc64el libsm6 ppc64el 2:1.2.3-1build2 [18.5 kB] 355s Get:86 http://ftpmaster.internal/ubuntu noble/main ppc64el libxt6 ppc64el 1:1.2.1-1.1 [198 kB] 355s Get:87 http://ftpmaster.internal/ubuntu noble/main ppc64el libxmu6 ppc64el 2:1.1.3-3 [57.2 kB] 355s Get:88 http://ftpmaster.internal/ubuntu noble/main ppc64el libxaw7 ppc64el 2:1.0.14-1 [227 kB] 355s Get:89 http://ftpmaster.internal/ubuntu noble/universe ppc64el graphviz ppc64el 2.42.2-8build1 [822 kB] 355s Get:90 http://ftpmaster.internal/ubuntu noble/main ppc64el libblas3 ppc64el 3.12.0-3 [227 kB] 355s Get:91 http://ftpmaster.internal/ubuntu noble/main ppc64el libc-dev-bin ppc64el 2.39-0ubuntu6 [21.3 kB] 355s Get:92 http://ftpmaster.internal/ubuntu noble/main ppc64el linux-libc-dev ppc64el 6.8.0-11.11 [1585 kB] 355s Get:93 http://ftpmaster.internal/ubuntu noble/main ppc64el libcrypt-dev ppc64el 1:4.4.36-4 [167 kB] 355s Get:94 http://ftpmaster.internal/ubuntu noble/main ppc64el rpcsvc-proto ppc64el 1.4.2-0ubuntu6 [82.3 kB] 355s Get:95 http://ftpmaster.internal/ubuntu noble/main ppc64el libc6-dev ppc64el 2.39-0ubuntu6 [2102 kB] 356s Get:96 http://ftpmaster.internal/ubuntu noble/main ppc64el libgfortran5 ppc64el 14-20240303-1ubuntu1 [574 kB] 356s Get:97 http://ftpmaster.internal/ubuntu noble/main ppc64el liblapack3 ppc64el 3.12.0-3 [2804 kB] 357s Get:98 http://ftpmaster.internal/ubuntu noble/main ppc64el libncurses-dev ppc64el 6.4+20240113-1ubuntu1 [485 kB] 357s Get:99 http://ftpmaster.internal/ubuntu noble/main ppc64el libreadline-dev ppc64el 8.2-3 [226 kB] 357s Get:100 http://ftpmaster.internal/ubuntu noble/main ppc64el libtcl8.6 ppc64el 8.6.13+dfsg-2 [1179 kB] 358s Get:101 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-cairo ppc64el 1.25.1-2 [162 kB] 358s Get:102 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-colorama all 0.4.6-4 [32.1 kB] 358s Get:103 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-click all 8.1.6-1 [79.0 kB] 358s Get:104 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-gi-cairo ppc64el 3.47.0-3 [9904 B] 358s Get:105 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-numpy ppc64el 1:1.24.2-2 [5581 kB] 362s Get:106 http://ftpmaster.internal/ubuntu noble/main ppc64el tcl8.6 ppc64el 8.6.13+dfsg-2 [14.8 kB] 362s Get:107 http://ftpmaster.internal/ubuntu noble/main ppc64el tcl ppc64el 8.6.13 [3994 B] 362s Get:108 http://ftpmaster.internal/ubuntu noble/main ppc64el zlib1g-dev ppc64el 1:1.3.dfsg-3ubuntu1 [902 kB] 363s Get:109 http://ftpmaster.internal/ubuntu noble/main ppc64el tcl8.6-dev ppc64el 8.6.13+dfsg-2 [1202 kB] 364s Get:110 http://ftpmaster.internal/ubuntu noble/main ppc64el tcl-dev ppc64el 8.6.13 [5750 B] 364s Get:111 http://ftpmaster.internal/ubuntu noble/universe ppc64el xdot all 1.3-1 [30.3 kB] 364s Get:112 http://ftpmaster.internal/ubuntu noble/universe ppc64el yosys ppc64el 0.23-6 [3442 kB] 365s Get:113 http://ftpmaster.internal/ubuntu noble/main ppc64el libffi-dev ppc64el 3.4.6-1 [67.4 kB] 365s Get:114 http://ftpmaster.internal/ubuntu noble/universe ppc64el yosys-dev ppc64el 0.23-6 [82.3 kB] 365s Get:115 http://ftpmaster.internal/ubuntu noble/universe ppc64el yosys-doc all 0.23-6 [2546 kB] 367s Fetched 48.7 MB in 17s (2938 kB/s) 367s Selecting previously unselected package libgdk-pixbuf2.0-common. 367s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 69712 files and directories currently installed.) 367s Preparing to unpack .../000-libgdk-pixbuf2.0-common_2.42.10+dfsg-3_all.deb ... 367s Unpacking libgdk-pixbuf2.0-common (2.42.10+dfsg-3) ... 367s Selecting previously unselected package libjpeg-turbo8:ppc64el. 367s Preparing to unpack .../001-libjpeg-turbo8_2.1.5-2ubuntu1_ppc64el.deb ... 367s Unpacking libjpeg-turbo8:ppc64el (2.1.5-2ubuntu1) ... 367s Selecting previously unselected package libjpeg8:ppc64el. 367s Preparing to unpack .../002-libjpeg8_8c-2ubuntu11_ppc64el.deb ... 367s Unpacking libjpeg8:ppc64el (8c-2ubuntu11) ... 367s Selecting previously unselected package libdeflate0:ppc64el. 367s Preparing to unpack .../003-libdeflate0_1.19-1_ppc64el.deb ... 367s Unpacking libdeflate0:ppc64el (1.19-1) ... 367s Selecting previously unselected package libjbig0:ppc64el. 367s Preparing to unpack .../004-libjbig0_2.1-6.1ubuntu1_ppc64el.deb ... 367s Unpacking libjbig0:ppc64el (2.1-6.1ubuntu1) ... 367s Selecting previously unselected package liblerc4:ppc64el. 367s Preparing to unpack .../005-liblerc4_4.0.0+ds-4ubuntu1_ppc64el.deb ... 367s Unpacking liblerc4:ppc64el (4.0.0+ds-4ubuntu1) ... 367s Selecting previously unselected package libsharpyuv0:ppc64el. 367s Preparing to unpack .../006-libsharpyuv0_1.3.2-0.4_ppc64el.deb ... 367s Unpacking libsharpyuv0:ppc64el (1.3.2-0.4) ... 367s Selecting previously unselected package libwebp7:ppc64el. 367s Preparing to unpack .../007-libwebp7_1.3.2-0.4_ppc64el.deb ... 367s Unpacking libwebp7:ppc64el (1.3.2-0.4) ... 367s Selecting previously unselected package libtiff6:ppc64el. 367s Preparing to unpack .../008-libtiff6_4.5.1+git230720-3ubuntu1_ppc64el.deb ... 367s Unpacking libtiff6:ppc64el (4.5.1+git230720-3ubuntu1) ... 367s Selecting previously unselected package libgdk-pixbuf-2.0-0:ppc64el. 367s Preparing to unpack .../009-libgdk-pixbuf-2.0-0_2.42.10+dfsg-3_ppc64el.deb ... 367s Unpacking libgdk-pixbuf-2.0-0:ppc64el (2.42.10+dfsg-3) ... 367s Selecting previously unselected package gtk-update-icon-cache. 367s Preparing to unpack .../010-gtk-update-icon-cache_3.24.40-2ubuntu1_ppc64el.deb ... 367s Unpacking gtk-update-icon-cache (3.24.40-2ubuntu1) ... 367s Selecting previously unselected package hicolor-icon-theme. 367s Preparing to unpack .../011-hicolor-icon-theme_0.17-2_all.deb ... 367s Unpacking hicolor-icon-theme (0.17-2) ... 367s Selecting previously unselected package humanity-icon-theme. 367s Preparing to unpack .../012-humanity-icon-theme_0.6.16_all.deb ... 367s Unpacking humanity-icon-theme (0.6.16) ... 368s Selecting previously unselected package ubuntu-mono. 368s Preparing to unpack .../013-ubuntu-mono_24.04-0ubuntu1_all.deb ... 368s Unpacking ubuntu-mono (24.04-0ubuntu1) ... 368s Selecting previously unselected package adwaita-icon-theme. 368s Preparing to unpack .../014-adwaita-icon-theme_46~rc-1_all.deb ... 368s Unpacking adwaita-icon-theme (46~rc-1) ... 369s Selecting previously unselected package at-spi2-common. 369s Preparing to unpack .../015-at-spi2-common_2.50.0-1_all.deb ... 369s Unpacking at-spi2-common (2.50.0-1) ... 369s Selecting previously unselected package berkeley-abc. 369s Preparing to unpack .../016-berkeley-abc_1.01+20230625git01b1bd1+dfsg-3_ppc64el.deb ... 369s Unpacking berkeley-abc (1.01+20230625git01b1bd1+dfsg-3) ... 369s Selecting previously unselected package libdconf1:ppc64el. 369s Preparing to unpack .../017-libdconf1_0.40.0-4_ppc64el.deb ... 369s Unpacking libdconf1:ppc64el (0.40.0-4) ... 369s Selecting previously unselected package dconf-service. 369s Preparing to unpack .../018-dconf-service_0.40.0-4_ppc64el.deb ... 369s Unpacking dconf-service (0.40.0-4) ... 369s Selecting previously unselected package dconf-gsettings-backend:ppc64el. 369s Preparing to unpack .../019-dconf-gsettings-backend_0.40.0-4_ppc64el.deb ... 369s Unpacking dconf-gsettings-backend:ppc64el (0.40.0-4) ... 369s Selecting previously unselected package fonts-dejavu-mono. 369s Preparing to unpack .../020-fonts-dejavu-mono_2.37-8_all.deb ... 369s Unpacking fonts-dejavu-mono (2.37-8) ... 369s Selecting previously unselected package fonts-dejavu-core. 369s Preparing to unpack .../021-fonts-dejavu-core_2.37-8_all.deb ... 369s Unpacking fonts-dejavu-core (2.37-8) ... 369s Selecting previously unselected package fontconfig-config. 369s Preparing to unpack .../022-fontconfig-config_2.15.0-1ubuntu1_ppc64el.deb ... 369s Unpacking fontconfig-config (2.15.0-1ubuntu1) ... 369s Selecting previously unselected package libfontconfig1:ppc64el. 369s Preparing to unpack .../023-libfontconfig1_2.15.0-1ubuntu1_ppc64el.deb ... 369s Unpacking libfontconfig1:ppc64el (2.15.0-1ubuntu1) ... 369s Selecting previously unselected package fontconfig. 369s Preparing to unpack .../024-fontconfig_2.15.0-1ubuntu1_ppc64el.deb ... 369s Unpacking fontconfig (2.15.0-1ubuntu1) ... 369s Selecting previously unselected package libatk1.0-0:ppc64el. 369s Preparing to unpack .../025-libatk1.0-0_2.50.0-1_ppc64el.deb ... 369s Unpacking libatk1.0-0:ppc64el (2.50.0-1) ... 369s Selecting previously unselected package gir1.2-atk-1.0:ppc64el. 369s Preparing to unpack .../026-gir1.2-atk-1.0_2.50.0-1_ppc64el.deb ... 369s Unpacking gir1.2-atk-1.0:ppc64el (2.50.0-1) ... 369s Selecting previously unselected package libpixman-1-0:ppc64el. 369s Preparing to unpack .../027-libpixman-1-0_0.42.2-1_ppc64el.deb ... 369s Unpacking libpixman-1-0:ppc64el (0.42.2-1) ... 369s Selecting previously unselected package libxcb-render0:ppc64el. 369s Preparing to unpack .../028-libxcb-render0_1.15-1_ppc64el.deb ... 369s Unpacking libxcb-render0:ppc64el (1.15-1) ... 369s Selecting previously unselected package libxcb-shm0:ppc64el. 369s Preparing to unpack .../029-libxcb-shm0_1.15-1_ppc64el.deb ... 369s Unpacking libxcb-shm0:ppc64el (1.15-1) ... 369s Selecting previously unselected package libxrender1:ppc64el. 369s Preparing to unpack .../030-libxrender1_1%3a0.9.10-1.1_ppc64el.deb ... 369s Unpacking libxrender1:ppc64el (1:0.9.10-1.1) ... 369s Selecting previously unselected package libcairo2:ppc64el. 369s Preparing to unpack .../031-libcairo2_1.18.0-1_ppc64el.deb ... 369s Unpacking libcairo2:ppc64el (1.18.0-1) ... 369s Selecting previously unselected package libcairo-gobject2:ppc64el. 369s Preparing to unpack .../032-libcairo-gobject2_1.18.0-1_ppc64el.deb ... 369s Unpacking libcairo-gobject2:ppc64el (1.18.0-1) ... 369s Selecting previously unselected package gir1.2-freedesktop:ppc64el. 369s Preparing to unpack .../033-gir1.2-freedesktop_1.79.1-1_ppc64el.deb ... 369s Unpacking gir1.2-freedesktop:ppc64el (1.79.1-1) ... 369s Selecting previously unselected package gir1.2-gdkpixbuf-2.0:ppc64el. 369s Preparing to unpack .../034-gir1.2-gdkpixbuf-2.0_2.42.10+dfsg-3_ppc64el.deb ... 369s Unpacking gir1.2-gdkpixbuf-2.0:ppc64el (2.42.10+dfsg-3) ... 369s Selecting previously unselected package libgraphite2-3:ppc64el. 369s Preparing to unpack .../035-libgraphite2-3_1.3.14-2_ppc64el.deb ... 369s Unpacking libgraphite2-3:ppc64el (1.3.14-2) ... 369s Selecting previously unselected package libharfbuzz0b:ppc64el. 369s Preparing to unpack .../036-libharfbuzz0b_8.3.0-2_ppc64el.deb ... 369s Unpacking libharfbuzz0b:ppc64el (8.3.0-2) ... 369s Selecting previously unselected package libharfbuzz-gobject0:ppc64el. 369s Preparing to unpack .../037-libharfbuzz-gobject0_8.3.0-2_ppc64el.deb ... 369s Unpacking libharfbuzz-gobject0:ppc64el (8.3.0-2) ... 369s Selecting previously unselected package gir1.2-harfbuzz-0.0:ppc64el. 369s Preparing to unpack .../038-gir1.2-harfbuzz-0.0_8.3.0-2_ppc64el.deb ... 369s Unpacking gir1.2-harfbuzz-0.0:ppc64el (8.3.0-2) ... 369s Selecting previously unselected package libthai-data. 369s Preparing to unpack .../039-libthai-data_0.1.29-2_all.deb ... 369s Unpacking libthai-data (0.1.29-2) ... 369s Selecting previously unselected package libdatrie1:ppc64el. 369s Preparing to unpack .../040-libdatrie1_0.2.13-3_ppc64el.deb ... 369s Unpacking libdatrie1:ppc64el (0.2.13-3) ... 369s Selecting previously unselected package libthai0:ppc64el. 369s Preparing to unpack .../041-libthai0_0.1.29-2_ppc64el.deb ... 369s Unpacking libthai0:ppc64el (0.1.29-2) ... 369s Selecting previously unselected package libpango-1.0-0:ppc64el. 369s Preparing to unpack .../042-libpango-1.0-0_1.51.0+ds-4_ppc64el.deb ... 369s Unpacking libpango-1.0-0:ppc64el (1.51.0+ds-4) ... 369s Selecting previously unselected package libpangoft2-1.0-0:ppc64el. 369s Preparing to unpack .../043-libpangoft2-1.0-0_1.51.0+ds-4_ppc64el.deb ... 369s Unpacking libpangoft2-1.0-0:ppc64el (1.51.0+ds-4) ... 369s Selecting previously unselected package libpangocairo-1.0-0:ppc64el. 369s Preparing to unpack .../044-libpangocairo-1.0-0_1.51.0+ds-4_ppc64el.deb ... 369s Unpacking libpangocairo-1.0-0:ppc64el (1.51.0+ds-4) ... 369s Selecting previously unselected package libxft2:ppc64el. 369s Preparing to unpack .../045-libxft2_2.3.6-1_ppc64el.deb ... 369s Unpacking libxft2:ppc64el (2.3.6-1) ... 369s Selecting previously unselected package libpangoxft-1.0-0:ppc64el. 369s Preparing to unpack .../046-libpangoxft-1.0-0_1.51.0+ds-4_ppc64el.deb ... 369s Unpacking libpangoxft-1.0-0:ppc64el (1.51.0+ds-4) ... 369s Selecting previously unselected package gir1.2-pango-1.0:ppc64el. 369s Preparing to unpack .../047-gir1.2-pango-1.0_1.51.0+ds-4_ppc64el.deb ... 369s Unpacking gir1.2-pango-1.0:ppc64el (1.51.0+ds-4) ... 370s Selecting previously unselected package libxi6:ppc64el. 370s Preparing to unpack .../048-libxi6_2%3a1.8.1-1_ppc64el.deb ... 370s Unpacking libxi6:ppc64el (2:1.8.1-1) ... 370s Selecting previously unselected package libatspi2.0-0:ppc64el. 370s Preparing to unpack .../049-libatspi2.0-0_2.50.0-1_ppc64el.deb ... 370s Unpacking libatspi2.0-0:ppc64el (2.50.0-1) ... 370s Selecting previously unselected package libatk-bridge2.0-0:ppc64el. 370s Preparing to unpack .../050-libatk-bridge2.0-0_2.50.0-1_ppc64el.deb ... 370s Unpacking libatk-bridge2.0-0:ppc64el (2.50.0-1) ... 370s Selecting previously unselected package liblcms2-2:ppc64el. 370s Preparing to unpack .../051-liblcms2-2_2.14-2_ppc64el.deb ... 370s Unpacking liblcms2-2:ppc64el (2.14-2) ... 370s Selecting previously unselected package libcolord2:ppc64el. 370s Preparing to unpack .../052-libcolord2_1.4.7-1_ppc64el.deb ... 370s Unpacking libcolord2:ppc64el (1.4.7-1) ... 370s Selecting previously unselected package libavahi-common-data:ppc64el. 370s Preparing to unpack .../053-libavahi-common-data_0.8-13ubuntu2_ppc64el.deb ... 370s Unpacking libavahi-common-data:ppc64el (0.8-13ubuntu2) ... 370s Selecting previously unselected package libavahi-common3:ppc64el. 370s Preparing to unpack .../054-libavahi-common3_0.8-13ubuntu2_ppc64el.deb ... 370s Unpacking libavahi-common3:ppc64el (0.8-13ubuntu2) ... 370s Selecting previously unselected package libavahi-client3:ppc64el. 370s Preparing to unpack .../055-libavahi-client3_0.8-13ubuntu2_ppc64el.deb ... 370s Unpacking libavahi-client3:ppc64el (0.8-13ubuntu2) ... 370s Selecting previously unselected package libcups2:ppc64el. 370s Preparing to unpack .../056-libcups2_2.4.6-0ubuntu3_ppc64el.deb ... 370s Unpacking libcups2:ppc64el (2.4.6-0ubuntu3) ... 370s Selecting previously unselected package libepoxy0:ppc64el. 370s Preparing to unpack .../057-libepoxy0_1.5.10-1_ppc64el.deb ... 370s Unpacking libepoxy0:ppc64el (1.5.10-1) ... 370s Selecting previously unselected package libwayland-client0:ppc64el. 370s Preparing to unpack .../058-libwayland-client0_1.22.0-2.1_ppc64el.deb ... 370s Unpacking libwayland-client0:ppc64el (1.22.0-2.1) ... 370s Selecting previously unselected package libwayland-cursor0:ppc64el. 370s Preparing to unpack .../059-libwayland-cursor0_1.22.0-2.1_ppc64el.deb ... 370s Unpacking libwayland-cursor0:ppc64el (1.22.0-2.1) ... 370s Selecting previously unselected package libwayland-egl1:ppc64el. 370s Preparing to unpack .../060-libwayland-egl1_1.22.0-2.1_ppc64el.deb ... 370s Unpacking libwayland-egl1:ppc64el (1.22.0-2.1) ... 370s Selecting previously unselected package libxcomposite1:ppc64el. 370s Preparing to unpack .../061-libxcomposite1_1%3a0.4.5-1build2_ppc64el.deb ... 370s Unpacking libxcomposite1:ppc64el (1:0.4.5-1build2) ... 370s Selecting previously unselected package libxfixes3:ppc64el. 370s Preparing to unpack .../062-libxfixes3_1%3a6.0.0-2_ppc64el.deb ... 370s Unpacking libxfixes3:ppc64el (1:6.0.0-2) ... 370s Selecting previously unselected package libxcursor1:ppc64el. 370s Preparing to unpack .../063-libxcursor1_1%3a1.2.1-1_ppc64el.deb ... 370s Unpacking libxcursor1:ppc64el (1:1.2.1-1) ... 370s Selecting previously unselected package libxdamage1:ppc64el. 370s Preparing to unpack .../064-libxdamage1_1%3a1.1.6-1_ppc64el.deb ... 370s Unpacking libxdamage1:ppc64el (1:1.1.6-1) ... 370s Selecting previously unselected package libxinerama1:ppc64el. 370s Preparing to unpack .../065-libxinerama1_2%3a1.1.4-3_ppc64el.deb ... 370s Unpacking libxinerama1:ppc64el (2:1.1.4-3) ... 370s Selecting previously unselected package libxrandr2:ppc64el. 370s Preparing to unpack .../066-libxrandr2_2%3a1.5.2-2_ppc64el.deb ... 370s Unpacking libxrandr2:ppc64el (2:1.5.2-2) ... 370s Selecting previously unselected package libgtk-3-common. 370s Preparing to unpack .../067-libgtk-3-common_3.24.40-2ubuntu1_all.deb ... 370s Unpacking libgtk-3-common (3.24.40-2ubuntu1) ... 370s Selecting previously unselected package libgtk-3-0:ppc64el. 370s Preparing to unpack .../068-libgtk-3-0_3.24.40-2ubuntu1_ppc64el.deb ... 370s Unpacking libgtk-3-0:ppc64el (3.24.40-2ubuntu1) ... 370s Selecting previously unselected package gir1.2-gtk-3.0:ppc64el. 370s Preparing to unpack .../069-gir1.2-gtk-3.0_3.24.40-2ubuntu1_ppc64el.deb ... 370s Unpacking gir1.2-gtk-3.0:ppc64el (3.24.40-2ubuntu1) ... 370s Selecting previously unselected package libann0. 370s Preparing to unpack .../070-libann0_1.1.2+doc-9_ppc64el.deb ... 370s Unpacking libann0 (1.1.2+doc-9) ... 370s Selecting previously unselected package libcdt5:ppc64el. 370s Preparing to unpack .../071-libcdt5_2.42.2-8build1_ppc64el.deb ... 370s Unpacking libcdt5:ppc64el (2.42.2-8build1) ... 370s Selecting previously unselected package libcgraph6:ppc64el. 370s Preparing to unpack .../072-libcgraph6_2.42.2-8build1_ppc64el.deb ... 370s Unpacking libcgraph6:ppc64el (2.42.2-8build1) ... 370s Selecting previously unselected package libxpm4:ppc64el. 370s Preparing to unpack .../073-libxpm4_1%3a3.5.17-1_ppc64el.deb ... 370s Unpacking libxpm4:ppc64el (1:3.5.17-1) ... 370s Selecting previously unselected package libgd3:ppc64el. 370s Preparing to unpack .../074-libgd3_2.3.3-9ubuntu1_ppc64el.deb ... 370s Unpacking libgd3:ppc64el (2.3.3-9ubuntu1) ... 370s Selecting previously unselected package libgts-0.7-5:ppc64el. 370s Preparing to unpack .../075-libgts-0.7-5_0.7.6+darcs121130-5_ppc64el.deb ... 370s Unpacking libgts-0.7-5:ppc64el (0.7.6+darcs121130-5) ... 370s Selecting previously unselected package libltdl7:ppc64el. 370s Preparing to unpack .../076-libltdl7_2.4.7-7_ppc64el.deb ... 370s Unpacking libltdl7:ppc64el (2.4.7-7) ... 370s Selecting previously unselected package libpathplan4:ppc64el. 370s Preparing to unpack .../077-libpathplan4_2.42.2-8build1_ppc64el.deb ... 370s Unpacking libpathplan4:ppc64el (2.42.2-8build1) ... 370s Selecting previously unselected package libgvc6. 370s Preparing to unpack .../078-libgvc6_2.42.2-8build1_ppc64el.deb ... 370s Unpacking libgvc6 (2.42.2-8build1) ... 370s Selecting previously unselected package libgvpr2:ppc64el. 370s Preparing to unpack .../079-libgvpr2_2.42.2-8build1_ppc64el.deb ... 370s Unpacking libgvpr2:ppc64el (2.42.2-8build1) ... 370s Selecting previously unselected package liblab-gamut1:ppc64el. 370s Preparing to unpack .../080-liblab-gamut1_2.42.2-8build1_ppc64el.deb ... 370s Unpacking liblab-gamut1:ppc64el (2.42.2-8build1) ... 370s Selecting previously unselected package x11-common. 370s Preparing to unpack .../081-x11-common_1%3a7.7+23ubuntu2_all.deb ... 370s Unpacking x11-common (1:7.7+23ubuntu2) ... 370s Selecting previously unselected package libice6:ppc64el. 370s Preparing to unpack .../082-libice6_2%3a1.0.10-1build2_ppc64el.deb ... 370s Unpacking libice6:ppc64el (2:1.0.10-1build2) ... 370s Selecting previously unselected package libsm6:ppc64el. 370s Preparing to unpack .../083-libsm6_2%3a1.2.3-1build2_ppc64el.deb ... 370s Unpacking libsm6:ppc64el (2:1.2.3-1build2) ... 370s Selecting previously unselected package libxt6:ppc64el. 370s Preparing to unpack .../084-libxt6_1%3a1.2.1-1.1_ppc64el.deb ... 370s Unpacking libxt6:ppc64el (1:1.2.1-1.1) ... 370s Selecting previously unselected package libxmu6:ppc64el. 370s Preparing to unpack .../085-libxmu6_2%3a1.1.3-3_ppc64el.deb ... 370s Unpacking libxmu6:ppc64el (2:1.1.3-3) ... 370s Selecting previously unselected package libxaw7:ppc64el. 370s Preparing to unpack .../086-libxaw7_2%3a1.0.14-1_ppc64el.deb ... 370s Unpacking libxaw7:ppc64el (2:1.0.14-1) ... 370s Selecting previously unselected package graphviz. 370s Preparing to unpack .../087-graphviz_2.42.2-8build1_ppc64el.deb ... 370s Unpacking graphviz (2.42.2-8build1) ... 370s Selecting previously unselected package libblas3:ppc64el. 370s Preparing to unpack .../088-libblas3_3.12.0-3_ppc64el.deb ... 370s Unpacking libblas3:ppc64el (3.12.0-3) ... 370s Selecting previously unselected package libc-dev-bin. 370s Preparing to unpack .../089-libc-dev-bin_2.39-0ubuntu6_ppc64el.deb ... 370s Unpacking libc-dev-bin (2.39-0ubuntu6) ... 370s Selecting previously unselected package linux-libc-dev:ppc64el. 370s Preparing to unpack .../090-linux-libc-dev_6.8.0-11.11_ppc64el.deb ... 370s Unpacking linux-libc-dev:ppc64el (6.8.0-11.11) ... 371s Selecting previously unselected package libcrypt-dev:ppc64el. 371s Preparing to unpack .../091-libcrypt-dev_1%3a4.4.36-4_ppc64el.deb ... 371s Unpacking libcrypt-dev:ppc64el (1:4.4.36-4) ... 371s Selecting previously unselected package rpcsvc-proto. 371s Preparing to unpack .../092-rpcsvc-proto_1.4.2-0ubuntu6_ppc64el.deb ... 371s Unpacking rpcsvc-proto (1.4.2-0ubuntu6) ... 371s Selecting previously unselected package libc6-dev:ppc64el. 371s Preparing to unpack .../093-libc6-dev_2.39-0ubuntu6_ppc64el.deb ... 371s Unpacking libc6-dev:ppc64el (2.39-0ubuntu6) ... 371s Selecting previously unselected package libgfortran5:ppc64el. 371s Preparing to unpack .../094-libgfortran5_14-20240303-1ubuntu1_ppc64el.deb ... 371s Unpacking libgfortran5:ppc64el (14-20240303-1ubuntu1) ... 371s Selecting previously unselected package liblapack3:ppc64el. 371s Preparing to unpack .../095-liblapack3_3.12.0-3_ppc64el.deb ... 371s Unpacking liblapack3:ppc64el (3.12.0-3) ... 371s Selecting previously unselected package libncurses-dev:ppc64el. 371s Preparing to unpack .../096-libncurses-dev_6.4+20240113-1ubuntu1_ppc64el.deb ... 371s Unpacking libncurses-dev:ppc64el (6.4+20240113-1ubuntu1) ... 371s Selecting previously unselected package libreadline-dev:ppc64el. 371s Preparing to unpack .../097-libreadline-dev_8.2-3_ppc64el.deb ... 371s Unpacking libreadline-dev:ppc64el (8.2-3) ... 371s Selecting previously unselected package libtcl8.6:ppc64el. 371s Preparing to unpack .../098-libtcl8.6_8.6.13+dfsg-2_ppc64el.deb ... 371s Unpacking libtcl8.6:ppc64el (8.6.13+dfsg-2) ... 371s Selecting previously unselected package python3-cairo. 371s Preparing to unpack .../099-python3-cairo_1.25.1-2_ppc64el.deb ... 371s Unpacking python3-cairo (1.25.1-2) ... 371s Selecting previously unselected package python3-colorama. 371s Preparing to unpack .../100-python3-colorama_0.4.6-4_all.deb ... 371s Unpacking python3-colorama (0.4.6-4) ... 371s Selecting previously unselected package python3-click. 371s Preparing to unpack .../101-python3-click_8.1.6-1_all.deb ... 371s Unpacking python3-click (8.1.6-1) ... 371s Selecting previously unselected package python3-gi-cairo. 371s Preparing to unpack .../102-python3-gi-cairo_3.47.0-3_ppc64el.deb ... 371s Unpacking python3-gi-cairo (3.47.0-3) ... 371s Selecting previously unselected package python3-numpy. 371s Preparing to unpack .../103-python3-numpy_1%3a1.24.2-2_ppc64el.deb ... 371s Unpacking python3-numpy (1:1.24.2-2) ... 372s Selecting previously unselected package tcl8.6. 372s Preparing to unpack .../104-tcl8.6_8.6.13+dfsg-2_ppc64el.deb ... 372s Unpacking tcl8.6 (8.6.13+dfsg-2) ... 372s Selecting previously unselected package tcl. 372s Preparing to unpack .../105-tcl_8.6.13_ppc64el.deb ... 372s Unpacking tcl (8.6.13) ... 372s Selecting previously unselected package zlib1g-dev:ppc64el. 372s Preparing to unpack .../106-zlib1g-dev_1%3a1.3.dfsg-3ubuntu1_ppc64el.deb ... 372s Unpacking zlib1g-dev:ppc64el (1:1.3.dfsg-3ubuntu1) ... 372s Selecting previously unselected package tcl8.6-dev:ppc64el. 372s Preparing to unpack .../107-tcl8.6-dev_8.6.13+dfsg-2_ppc64el.deb ... 372s Unpacking tcl8.6-dev:ppc64el (8.6.13+dfsg-2) ... 372s Selecting previously unselected package tcl-dev:ppc64el. 372s Preparing to unpack .../108-tcl-dev_8.6.13_ppc64el.deb ... 372s Unpacking tcl-dev:ppc64el (8.6.13) ... 372s Selecting previously unselected package xdot. 372s Preparing to unpack .../109-xdot_1.3-1_all.deb ... 372s Unpacking xdot (1.3-1) ... 372s Selecting previously unselected package yosys. 372s Preparing to unpack .../110-yosys_0.23-6_ppc64el.deb ... 372s Unpacking yosys (0.23-6) ... 372s Selecting previously unselected package libffi-dev:ppc64el. 372s Preparing to unpack .../111-libffi-dev_3.4.6-1_ppc64el.deb ... 372s Unpacking libffi-dev:ppc64el (3.4.6-1) ... 372s Selecting previously unselected package yosys-dev. 372s Preparing to unpack .../112-yosys-dev_0.23-6_ppc64el.deb ... 372s Unpacking yosys-dev (0.23-6) ... 372s Selecting previously unselected package yosys-doc. 372s Preparing to unpack .../113-yosys-doc_0.23-6_all.deb ... 372s Unpacking yosys-doc (0.23-6) ... 372s Selecting previously unselected package autopkgtest-satdep. 372s Preparing to unpack .../114-1-autopkgtest-satdep.deb ... 372s Unpacking autopkgtest-satdep (0) ... 372s Setting up libgraphite2-3:ppc64el (1.3.14-2) ... 372s Setting up liblcms2-2:ppc64el (2.14-2) ... 372s Setting up libpixman-1-0:ppc64el (0.42.2-1) ... 372s Setting up libsharpyuv0:ppc64el (1.3.2-0.4) ... 372s Setting up libxdamage1:ppc64el (1:1.1.6-1) ... 372s Setting up liblerc4:ppc64el (4.0.0+ds-4ubuntu1) ... 372s Setting up libxpm4:ppc64el (1:3.5.17-1) ... 372s Setting up hicolor-icon-theme (0.17-2) ... 372s Setting up libxi6:ppc64el (2:1.8.1-1) ... 372s Setting up libxrender1:ppc64el (1:0.9.10-1.1) ... 372s Setting up libdatrie1:ppc64el (0.2.13-3) ... 372s Setting up python3-colorama (0.4.6-4) ... 372s Setting up libxcb-render0:ppc64el (1.15-1) ... 372s Setting up liblab-gamut1:ppc64el (2.42.2-8build1) ... 372s Setting up libgdk-pixbuf2.0-common (2.42.10+dfsg-3) ... 372s Setting up x11-common (1:7.7+23ubuntu2) ... 373s Setting up libdeflate0:ppc64el (1.19-1) ... 373s Setting up linux-libc-dev:ppc64el (6.8.0-11.11) ... 373s Setting up yosys-doc (0.23-6) ... 373s Setting up libxcb-shm0:ppc64el (1.15-1) ... 373s Setting up libatspi2.0-0:ppc64el (2.50.0-1) ... 373s Setting up python3-click (8.1.6-1) ... 373s Setting up libffi-dev:ppc64el (3.4.6-1) ... 373s Setting up libjbig0:ppc64el (2.1-6.1ubuntu1) ... 373s Setting up libcolord2:ppc64el (1.4.7-1) ... 373s Setting up berkeley-abc (1.01+20230625git01b1bd1+dfsg-3) ... 373s Setting up libdconf1:ppc64el (0.40.0-4) ... 373s Setting up libgts-0.7-5:ppc64el (0.7.6+darcs121130-5) ... 373s Setting up libblas3:ppc64el (3.12.0-3) ... 373s update-alternatives: using /usr/lib/powerpc64le-linux-gnu/blas/libblas.so.3 to provide /usr/lib/powerpc64le-linux-gnu/libblas.so.3 (libblas.so.3-powerpc64le-linux-gnu) in auto mode 373s Setting up rpcsvc-proto (1.4.2-0ubuntu6) ... 373s Setting up libepoxy0:ppc64el (1.5.10-1) ... 373s Setting up libxfixes3:ppc64el (1:6.0.0-2) ... 373s Setting up libpathplan4:ppc64el (2.42.2-8build1) ... 373s Setting up libavahi-common-data:ppc64el (0.8-13ubuntu2) ... 373s Setting up libann0 (1.1.2+doc-9) ... 373s Setting up libxinerama1:ppc64el (2:1.1.4-3) ... 373s Setting up fonts-dejavu-mono (2.37-8) ... 373s Setting up libxrandr2:ppc64el (2:1.5.2-2) ... 373s Setting up libtcl8.6:ppc64el (8.6.13+dfsg-2) ... 373s Setting up fonts-dejavu-core (2.37-8) ... 373s Setting up libjpeg-turbo8:ppc64el (2.1.5-2ubuntu1) ... 373s Setting up libltdl7:ppc64el (2.4.7-7) ... 373s Setting up libgfortran5:ppc64el (14-20240303-1ubuntu1) ... 373s Setting up libwebp7:ppc64el (1.3.2-0.4) ... 373s Setting up at-spi2-common (2.50.0-1) ... 373s Setting up libcrypt-dev:ppc64el (1:4.4.36-4) ... 373s Setting up libharfbuzz0b:ppc64el (8.3.0-2) ... 373s Setting up libthai-data (0.1.29-2) ... 373s Setting up libcdt5:ppc64el (2.42.2-8build1) ... 373s Setting up libatk1.0-0:ppc64el (2.50.0-1) ... 373s Setting up libcgraph6:ppc64el (2.42.2-8build1) ... 373s Setting up libwayland-egl1:ppc64el (1.22.0-2.1) ... 373s Setting up libc-dev-bin (2.39-0ubuntu6) ... 373s Setting up libxcomposite1:ppc64el (1:0.4.5-1build2) ... 373s Setting up libwayland-client0:ppc64el (1.22.0-2.1) ... 373s Setting up libjpeg8:ppc64el (8c-2ubuntu11) ... 373s Setting up libice6:ppc64el (2:1.0.10-1build2) ... 373s Setting up tcl8.6 (8.6.13+dfsg-2) ... 373s Setting up liblapack3:ppc64el (3.12.0-3) ... 373s update-alternatives: using /usr/lib/powerpc64le-linux-gnu/lapack/liblapack.so.3 to provide /usr/lib/powerpc64le-linux-gnu/liblapack.so.3 (liblapack.so.3-powerpc64le-linux-gnu) in auto mode 373s Setting up gir1.2-atk-1.0:ppc64el (2.50.0-1) ... 373s Setting up fontconfig-config (2.15.0-1ubuntu1) ... 373s Setting up libxcursor1:ppc64el (1:1.2.1-1) ... 373s Setting up libavahi-common3:ppc64el (0.8-13ubuntu2) ... 373s Setting up dconf-service (0.40.0-4) ... 373s Setting up libharfbuzz-gobject0:ppc64el (8.3.0-2) ... 373s Setting up libatk-bridge2.0-0:ppc64el (2.50.0-1) ... 373s Setting up libthai0:ppc64el (0.1.29-2) ... 373s Setting up python3-numpy (1:1.24.2-2) ... 375s Setting up libgvpr2:ppc64el (2.42.2-8build1) ... 375s Setting up libtiff6:ppc64el (4.5.1+git230720-3ubuntu1) ... 375s Setting up libwayland-cursor0:ppc64el (1.22.0-2.1) ... 375s Setting up tcl (8.6.13) ... 375s Setting up libc6-dev:ppc64el (2.39-0ubuntu6) ... 375s Setting up libgdk-pixbuf-2.0-0:ppc64el (2.42.10+dfsg-3) ... 375s Setting up libfontconfig1:ppc64el (2.15.0-1ubuntu1) ... 375s Setting up libsm6:ppc64el (2:1.2.3-1build2) ... 375s Setting up libavahi-client3:ppc64el (0.8-13ubuntu2) ... 375s Setting up gtk-update-icon-cache (3.24.40-2ubuntu1) ... 375s Setting up fontconfig (2.15.0-1ubuntu1) ... 377s Regenerating fonts cache... done. 377s Setting up libxft2:ppc64el (2.3.6-1) ... 377s Setting up libncurses-dev:ppc64el (6.4+20240113-1ubuntu1) ... 377s Setting up dconf-gsettings-backend:ppc64el (0.40.0-4) ... 377s Setting up gir1.2-gdkpixbuf-2.0:ppc64el (2.42.10+dfsg-3) ... 377s Setting up libpango-1.0-0:ppc64el (1.51.0+ds-4) ... 377s Setting up libreadline-dev:ppc64el (8.2-3) ... 377s Setting up libcairo2:ppc64el (1.18.0-1) ... 377s Setting up libgd3:ppc64el (2.3.3-9ubuntu1) ... 377s Setting up libxt6:ppc64el (1:1.2.1-1.1) ... 377s Setting up libcups2:ppc64el (2.4.6-0ubuntu3) ... 377s Setting up zlib1g-dev:ppc64el (1:1.3.dfsg-3ubuntu1) ... 377s Setting up libcairo-gobject2:ppc64el (1.18.0-1) ... 377s Setting up libpangoft2-1.0-0:ppc64el (1.51.0+ds-4) ... 377s Setting up libgtk-3-common (3.24.40-2ubuntu1) ... 377s Setting up libpangocairo-1.0-0:ppc64el (1.51.0+ds-4) ... 377s Setting up libxmu6:ppc64el (2:1.1.3-3) ... 377s Setting up gir1.2-freedesktop:ppc64el (1.79.1-1) ... 377s Setting up python3-cairo (1.25.1-2) ... 377s Setting up libpangoxft-1.0-0:ppc64el (1.51.0+ds-4) ... 377s Setting up tcl8.6-dev:ppc64el (8.6.13+dfsg-2) ... 377s Setting up libxaw7:ppc64el (2:1.0.14-1) ... 377s Setting up gir1.2-harfbuzz-0.0:ppc64el (8.3.0-2) ... 377s Setting up gir1.2-pango-1.0:ppc64el (1.51.0+ds-4) ... 377s Setting up libgvc6 (2.42.2-8build1) ... 377s Setting up tcl-dev:ppc64el (8.6.13) ... 377s Setting up python3-gi-cairo (3.47.0-3) ... 377s Setting up yosys-dev (0.23-6) ... 377s Setting up graphviz (2.42.2-8build1) ... 377s Setting up adwaita-icon-theme (46~rc-1) ... 377s update-alternatives: using /usr/share/icons/Adwaita/cursor.theme to provide /usr/share/icons/default/index.theme (x-cursor-theme) in auto mode 377s Setting up humanity-icon-theme (0.6.16) ... 378s Setting up ubuntu-mono (24.04-0ubuntu1) ... 378s Processing triggers for man-db (2.12.0-3) ... 378s Processing triggers for install-info (7.1-3) ... 378s Processing triggers for libglib2.0-0:ppc64el (2.79.2-1~ubuntu1) ... 378s Setting up libgtk-3-0:ppc64el (3.24.40-2ubuntu1) ... 378s Processing triggers for libc-bin (2.39-0ubuntu6) ... 378s Setting up gir1.2-gtk-3.0:ppc64el (3.24.40-2ubuntu1) ... 378s Setting up xdot (1.3-1) ... 378s Setting up yosys (0.23-6) ... 379s /usr/share/yosys/smtio.py:771: SyntaxWarning: invalid escape sequence '\|' 379s s = "/-\|" 379s /usr/share/yosys/smtio.py:1174: SyntaxWarning: invalid escape sequence '\[' 379s if re.match("[\[\]]", name) and name[0] != "\\": 379s Setting up autopkgtest-satdep (0) ... 383s (Reading database ... 86686 files and directories currently installed.) 383s Removing autopkgtest-satdep (0) ... 384s autopkgtest [10:54:52]: test ice: [----------------------- 384s 384s /----------------------------------------------------------------------------\ 384s | | 384s | yosys -- Yosys Open SYnthesis Suite | 384s | | 384s | Copyright (C) 2012 - 2020 Claire Xenia Wolf | 384s | | 384s | Permission to use, copy, modify, and/or distribute this software for any | 384s | purpose with or without fee is hereby granted, provided that the above | 384s | copyright notice and this permission notice appear in all copies. | 384s | | 384s | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | 384s | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | 384s | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | 384s | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | 384s | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | 384s | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | 384s | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | 384s | | 384s \----------------------------------------------------------------------------/ 384s 384s Yosys 0.23 (git sha1 7ce5011c24b) 384s 384s 384s -- Running command `read_verilog debian/tests/design_ice.v; synth_ice40 -blif /tmp/autopkgtest.qdTHrq/autopkgtest_tmp/design_ice.blif' -- 384s 384s 1. Executing Verilog-2005 frontend: debian/tests/design_ice.v 384s Parsing Verilog input from `debian/tests/design_ice.v' to AST representation. 384s Generating RTLIL representation for module `\design_ice'. 384s Successfully finished Verilog frontend. 384s 384s 2. Executing SYNTH_ICE40 pass. 384s 384s 2.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/cells_sim.v 384s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/cells_sim.v' to AST representation. 384s Generating RTLIL representation for module `\SB_IO'. 384s Generating RTLIL representation for module `\SB_GB_IO'. 384s Generating RTLIL representation for module `\SB_GB'. 384s Generating RTLIL representation for module `\SB_LUT4'. 384s Generating RTLIL representation for module `\SB_CARRY'. 384s Generating RTLIL representation for module `\SB_DFF'. 384s Generating RTLIL representation for module `\SB_DFFE'. 384s Generating RTLIL representation for module `\SB_DFFSR'. 384s Generating RTLIL representation for module `\SB_DFFR'. 384s Generating RTLIL representation for module `\SB_DFFSS'. 384s Generating RTLIL representation for module `\SB_DFFS'. 384s Generating RTLIL representation for module `\SB_DFFESR'. 384s Generating RTLIL representation for module `\SB_DFFER'. 384s Generating RTLIL representation for module `\SB_DFFESS'. 384s Generating RTLIL representation for module `\SB_DFFES'. 384s Generating RTLIL representation for module `\SB_DFFN'. 384s Generating RTLIL representation for module `\SB_DFFNE'. 384s Generating RTLIL representation for module `\SB_DFFNSR'. 384s Generating RTLIL representation for module `\SB_DFFNR'. 384s Generating RTLIL representation for module `\SB_DFFNSS'. 384s Generating RTLIL representation for module `\SB_DFFNS'. 384s Generating RTLIL representation for module `\SB_DFFNESR'. 384s Generating RTLIL representation for module `\SB_DFFNER'. 384s Generating RTLIL representation for module `\SB_DFFNESS'. 384s Generating RTLIL representation for module `\SB_DFFNES'. 384s Generating RTLIL representation for module `\SB_RAM40_4K'. 384s Generating RTLIL representation for module `\SB_RAM40_4KNR'. 384s Generating RTLIL representation for module `\SB_RAM40_4KNW'. 384s Generating RTLIL representation for module `\SB_RAM40_4KNRNW'. 384s Generating RTLIL representation for module `\ICESTORM_LC'. 384s Generating RTLIL representation for module `\SB_PLL40_CORE'. 384s Generating RTLIL representation for module `\SB_PLL40_PAD'. 384s Generating RTLIL representation for module `\SB_PLL40_2_PAD'. 384s Generating RTLIL representation for module `\SB_PLL40_2F_CORE'. 384s Generating RTLIL representation for module `\SB_PLL40_2F_PAD'. 384s Generating RTLIL representation for module `\SB_WARMBOOT'. 384s Generating RTLIL representation for module `\SB_SPRAM256KA'. 384s Generating RTLIL representation for module `\SB_HFOSC'. 384s Generating RTLIL representation for module `\SB_LFOSC'. 384s Generating RTLIL representation for module `\SB_RGBA_DRV'. 384s Generating RTLIL representation for module `\SB_LED_DRV_CUR'. 384s Generating RTLIL representation for module `\SB_RGB_DRV'. 384s Generating RTLIL representation for module `\SB_I2C'. 384s Generating RTLIL representation for module `\SB_SPI'. 384s Generating RTLIL representation for module `\SB_LEDDA_IP'. 384s Generating RTLIL representation for module `\SB_FILTER_50NS'. 384s Generating RTLIL representation for module `\SB_IO_I3C'. 384s Generating RTLIL representation for module `\SB_IO_OD'. 384s Generating RTLIL representation for module `\SB_MAC16'. 384s Generating RTLIL representation for module `\ICESTORM_RAM'. 384s Successfully finished Verilog frontend. 384s 384s 2.2. Executing HIERARCHY pass (managing design hierarchy). 384s 384s 2.2.1. Finding top of design hierarchy.. 384s root of 0 design levels: design_ice 384s Automatically selected design_ice as design top module. 384s 384s 2.2.2. Analyzing design hierarchy.. 384s Top module: \design_ice 384s 384s 2.2.3. Analyzing design hierarchy.. 384s Top module: \design_ice 384s Removed 0 unused modules. 384s 384s 2.3. Executing PROC pass (convert processes to netlists). 384s 384s 2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). 384s Cleaned up 0 empty switches. 384s 384s 2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243 in module SB_DFFNES. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236 in module SB_DFFNESS. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232 in module SB_DFFNER. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225 in module SB_DFFNESR. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222 in module SB_DFFNS. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219 in module SB_DFFNSS. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216 in module SB_DFFNR. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213 in module SB_DFFNSR. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205 in module SB_DFFES. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198 in module SB_DFFESS. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194 in module SB_DFFER. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187 in module SB_DFFESR. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184 in module SB_DFFS. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181 in module SB_DFFSS. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178 in module SB_DFFR. 384s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175 in module SB_DFFSR. 384s Marked 1 switch rules as full_case in process $proc$debian/tests/design_ice.v:6$1 in module design_ice. 384s Removed a total of 0 dead cases. 384s 384s 2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). 384s Removed 8 redundant assignments. 384s Promoted 23 assignments to connections. 384s 384s 2.3.4. Executing PROC_INIT pass (extract init attributes). 384s Found init rule in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$246'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$242'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$235'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$231'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$224'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$221'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$218'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$215'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$212'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$210'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$208'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$204'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$197'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$193'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$186'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$183'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$180'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$177'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$174'. 384s Set init value: \Q = 1'0 384s Found init rule in `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$172'. 384s Set init value: \Q = 1'0 384s Found init rule in `\design_ice.$proc$debian/tests/design_ice.v:3$2'. 384s Set init value: \ready = 1'0 384s 384s 2.3.5. Executing PROC_ARST pass (detect async resets in processes). 384s Found async reset \S in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 384s Found async reset \R in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 384s Found async reset \S in `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 384s Found async reset \R in `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 384s Found async reset \S in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 384s Found async reset \R in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 384s Found async reset \S in `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 384s Found async reset \R in `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 384s 384s 2.3.6. Executing PROC_ROM pass (convert switches to ROMs). 384s Converted 0 switches. 384s 384s 384s 2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 384s Creating decoders for process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$246'. 384s Creating decoders for process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$242'. 384s Creating decoders for process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$235'. 384s Creating decoders for process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$231'. 384s Creating decoders for process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$224'. 384s Creating decoders for process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$221'. 384s Creating decoders for process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$218'. 384s Creating decoders for process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$215'. 384s Creating decoders for process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$212'. 384s Creating decoders for process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$210'. 384s Creating decoders for process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$209'. 384s Creating decoders for process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$208'. 384s Creating decoders for process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$204'. 384s Creating decoders for process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$197'. 384s Creating decoders for process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$193'. 384s Creating decoders for process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$186'. 384s Creating decoders for process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$183'. 384s Creating decoders for process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$180'. 384s Creating decoders for process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$177'. 384s Creating decoders for process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$174'. 384s Creating decoders for process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 384s 1/1: $0\Q[0:0] 384s Creating decoders for process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$172'. 384s Creating decoders for process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$171'. 384s Creating decoders for process `\design_ice.$proc$debian/tests/design_ice.v:3$2'. 384s Creating decoders for process `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 384s 1/2: $0\value[0:0] 384s 2/2: $0\ready[0:0] 384s 384s 2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 384s 384s 2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 384s Creating register for signal `\SB_DFFNES.\Q' using process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 384s created $adff cell `$procdff$433' with negative edge clock and positive level reset. 384s Creating register for signal `\SB_DFFNESS.\Q' using process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 384s created $dff cell `$procdff$434' with negative edge clock. 384s Creating register for signal `\SB_DFFNER.\Q' using process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 384s created $adff cell `$procdff$435' with negative edge clock and positive level reset. 384s Creating register for signal `\SB_DFFNESR.\Q' using process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 384s created $dff cell `$procdff$436' with negative edge clock. 384s Creating register for signal `\SB_DFFNS.\Q' using process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 384s created $adff cell `$procdff$437' with negative edge clock and positive level reset. 384s Creating register for signal `\SB_DFFNSS.\Q' using process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 384s created $dff cell `$procdff$438' with negative edge clock. 384s Creating register for signal `\SB_DFFNR.\Q' using process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 384s created $adff cell `$procdff$439' with negative edge clock and positive level reset. 384s Creating register for signal `\SB_DFFNSR.\Q' using process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 384s created $dff cell `$procdff$440' with negative edge clock. 384s Creating register for signal `\SB_DFFNE.\Q' using process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 384s created $dff cell `$procdff$441' with negative edge clock. 384s Creating register for signal `\SB_DFFN.\Q' using process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$209'. 384s created $dff cell `$procdff$442' with negative edge clock. 384s Creating register for signal `\SB_DFFES.\Q' using process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 384s created $adff cell `$procdff$443' with positive edge clock and positive level reset. 384s Creating register for signal `\SB_DFFESS.\Q' using process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 384s created $dff cell `$procdff$444' with positive edge clock. 384s Creating register for signal `\SB_DFFER.\Q' using process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 384s created $adff cell `$procdff$445' with positive edge clock and positive level reset. 384s Creating register for signal `\SB_DFFESR.\Q' using process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 384s created $dff cell `$procdff$446' with positive edge clock. 384s Creating register for signal `\SB_DFFS.\Q' using process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 384s created $adff cell `$procdff$447' with positive edge clock and positive level reset. 384s Creating register for signal `\SB_DFFSS.\Q' using process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 384s created $dff cell `$procdff$448' with positive edge clock. 384s Creating register for signal `\SB_DFFR.\Q' using process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 384s created $adff cell `$procdff$449' with positive edge clock and positive level reset. 384s Creating register for signal `\SB_DFFSR.\Q' using process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 384s created $dff cell `$procdff$450' with positive edge clock. 384s Creating register for signal `\SB_DFFE.\Q' using process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 384s created $dff cell `$procdff$451' with positive edge clock. 384s Creating register for signal `\SB_DFF.\Q' using process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$171'. 384s created $dff cell `$procdff$452' with positive edge clock. 384s Creating register for signal `\design_ice.\ready' using process `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 384s created $dff cell `$procdff$453' with positive edge clock. 384s Creating register for signal `\design_ice.\value' using process `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 384s created $dff cell `$procdff$454' with positive edge clock. 384s 384s 2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 384s 384s 2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). 384s Removing empty process `SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$246'. 384s Found and cleaned up 1 empty switch in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 384s Removing empty process `SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 384s Removing empty process `SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$242'. 384s Found and cleaned up 2 empty switches in `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 384s Removing empty process `SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 384s Removing empty process `SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$235'. 384s Found and cleaned up 1 empty switch in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 384s Removing empty process `SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 384s Removing empty process `SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$231'. 384s Found and cleaned up 2 empty switches in `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 384s Removing empty process `SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 384s Removing empty process `SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$224'. 384s Removing empty process `SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 384s Removing empty process `SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$221'. 384s Found and cleaned up 1 empty switch in `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 384s Removing empty process `SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 384s Removing empty process `SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$218'. 384s Removing empty process `SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 384s Removing empty process `SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$215'. 384s Found and cleaned up 1 empty switch in `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 384s Removing empty process `SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 384s Removing empty process `SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$212'. 384s Found and cleaned up 1 empty switch in `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 384s Removing empty process `SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 384s Removing empty process `SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$210'. 384s Removing empty process `SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$209'. 384s Removing empty process `SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$208'. 384s Found and cleaned up 1 empty switch in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 384s Removing empty process `SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 384s Removing empty process `SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$204'. 384s Found and cleaned up 2 empty switches in `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 384s Removing empty process `SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 384s Removing empty process `SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$197'. 384s Found and cleaned up 1 empty switch in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 384s Removing empty process `SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 384s Removing empty process `SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$193'. 384s Found and cleaned up 2 empty switches in `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 384s Removing empty process `SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 384s Removing empty process `SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$186'. 384s Removing empty process `SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 384s Removing empty process `SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$183'. 384s Found and cleaned up 1 empty switch in `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 384s Removing empty process `SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 384s Removing empty process `SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$180'. 384s Removing empty process `SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 384s Removing empty process `SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$177'. 384s Found and cleaned up 1 empty switch in `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 384s Removing empty process `SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 384s Removing empty process `SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$174'. 384s Found and cleaned up 1 empty switch in `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 384s Removing empty process `SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 384s Removing empty process `SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$172'. 384s Removing empty process `SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$171'. 384s Removing empty process `design_ice.$proc$debian/tests/design_ice.v:3$2'. 384s Found and cleaned up 1 empty switch in `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 384s Removing empty process `design_ice.$proc$debian/tests/design_ice.v:6$1'. 384s Cleaned up 19 empty switches. 384s 384s 2.3.12. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.4. Executing FLATTEN pass (flatten design). 384s 384s 2.5. Executing TRIBUF pass. 384s 384s 2.6. Executing DEMINOUT pass (demote inout ports to input or output). 384s 384s 2.7. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.8. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s Removed 0 unused cells and 5 unused wires. 384s 384s 384s 2.9. Executing CHECK pass (checking for obvious problems). 384s Checking module design_ice... 384s Found and reported 0 problems. 384s 384s 2.10. Executing OPT pass (performing simple optimizations). 384s 384s 2.10.1. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.10.2. Executing OPT_MERGE pass (detect identical cells). 384s Finding identical cells in module `\design_ice'. 384s Removed a total of 0 cells. 384s 384s 2.10.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 384s Running muxtree optimizer on module \design_ice.. 384s Creating internal representation of mux trees. 384s Evaluating internal representation of mux trees. 384s Replacing known input bits on port B of cell $procmux$431: \ready -> 1'1 384s Analyzing evaluation results. 384s Removed 0 multiplexer ports. 384s 384s 384s 2.10.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 384s Optimizing cells in module \design_ice. 384s Optimizing cells in module \design_ice. 384s Performed a total of 1 changes. 384s 384s 2.10.5. Executing OPT_MERGE pass (detect identical cells). 384s Finding identical cells in module `\design_ice'. 384s Removed a total of 0 cells. 384s 384s 2.10.6. Executing OPT_DFF pass (perform DFF optimizations). 384s 384s 2.10.7. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s Removed 0 unused cells and 1 unused wires. 384s 384s 384s 2.10.8. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.10.9. Rerunning OPT passes. (Maybe there is more to do..) 384s 384s 2.10.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 384s Running muxtree optimizer on module \design_ice.. 384s Creating internal representation of mux trees. 384s Evaluating internal representation of mux trees. 384s Analyzing evaluation results. 384s Removed 0 multiplexer ports. 384s 384s 384s 2.10.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 384s Optimizing cells in module \design_ice. 384s Performed a total of 0 changes. 384s 384s 2.10.12. Executing OPT_MERGE pass (detect identical cells). 384s Finding identical cells in module `\design_ice'. 384s Removed a total of 0 cells. 384s 384s 2.10.13. Executing OPT_DFF pass (perform DFF optimizations). 384s 384s 2.10.14. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s 384s 2.10.15. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.10.16. Finished OPT passes. (There is nothing left to do.) 384s 384s 2.11. Executing FSM pass (extract and optimize FSM). 384s 384s 2.11.1. Executing FSM_DETECT pass (finding FSMs in design). 384s 384s 2.11.2. Executing FSM_EXTRACT pass (extracting FSM from design). 384s 384s 2.11.3. Executing FSM_OPT pass (simple optimizations of FSMs). 384s 384s 2.11.4. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s 384s 2.11.5. Executing FSM_OPT pass (simple optimizations of FSMs). 384s 384s 2.11.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 384s 384s 2.11.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 384s 384s 2.11.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 384s 384s 2.12. Executing OPT pass (performing simple optimizations). 384s 384s 2.12.1. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.12.2. Executing OPT_MERGE pass (detect identical cells). 384s Finding identical cells in module `\design_ice'. 384s Removed a total of 0 cells. 384s 384s 2.12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 384s Running muxtree optimizer on module \design_ice.. 384s Creating internal representation of mux trees. 384s Evaluating internal representation of mux trees. 384s Analyzing evaluation results. 384s Removed 0 multiplexer ports. 384s 384s 384s 2.12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 384s Optimizing cells in module \design_ice. 384s Performed a total of 0 changes. 384s 384s 2.12.5. Executing OPT_MERGE pass (detect identical cells). 384s Finding identical cells in module `\design_ice'. 384s Removed a total of 0 cells. 384s 384s 2.12.6. Executing OPT_DFF pass (perform DFF optimizations). 384s Adding EN signal on $procdff$454 ($dff) from module design_ice (D = \I1, Q = \value). 384s 384s 2.12.7. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s Removed 1 unused cells and 1 unused wires. 384s 384s 384s 2.12.8. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.12.9. Rerunning OPT passes. (Maybe there is more to do..) 384s 384s 2.12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 384s Running muxtree optimizer on module \design_ice.. 384s Creating internal representation of mux trees. 384s No muxes found in this module. 384s Removed 0 multiplexer ports. 384s 384s 2.12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 384s Optimizing cells in module \design_ice. 384s Performed a total of 0 changes. 384s 384s 2.12.12. Executing OPT_MERGE pass (detect identical cells). 384s Finding identical cells in module `\design_ice'. 384s Removed a total of 0 cells. 384s 384s 2.12.13. Executing OPT_DFF pass (perform DFF optimizations). 384s 384s 2.12.14. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s 384s 2.12.15. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.12.16. Finished OPT passes. (There is nothing left to do.) 384s 384s 2.13. Executing WREDUCE pass (reducing word size of cells). 384s 384s 2.14. Executing PEEPOPT pass (run peephole optimizers). 384s 384s 2.15. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s 384s 2.16. Executing SHARE pass (SAT-based resource sharing). 384s 384s 2.17. Executing TECHMAP pass (map to technology primitives). 384s 384s 2.17.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/cmp2lut.v 384s Parsing Verilog input from `/usr/bin/../share/yosys/cmp2lut.v' to AST representation. 384s Generating RTLIL representation for module `\_90_lut_cmp_'. 384s Successfully finished Verilog frontend. 384s 384s 2.17.2. Continuing TECHMAP pass. 384s No more expansions possible. 384s 384s 384s 2.18. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.19. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s 384s 2.20. Executing ALUMACC pass (create $alu and $macc cells). 384s Extracting $alu and $macc cells in module design_ice: 384s created 0 $alu and 0 $macc cells. 384s 384s 2.21. Executing OPT pass (performing simple optimizations). 384s 384s 2.21.1. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.21.2. Executing OPT_MERGE pass (detect identical cells). 384s Finding identical cells in module `\design_ice'. 384s Removed a total of 0 cells. 384s 384s 2.21.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 384s Running muxtree optimizer on module \design_ice.. 384s Creating internal representation of mux trees. 384s No muxes found in this module. 384s Removed 0 multiplexer ports. 384s 384s 2.21.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 384s Optimizing cells in module \design_ice. 384s Performed a total of 0 changes. 384s 384s 2.21.5. Executing OPT_MERGE pass (detect identical cells). 384s Finding identical cells in module `\design_ice'. 384s Removed a total of 0 cells. 384s 384s 2.21.6. Executing OPT_DFF pass (perform DFF optimizations). 384s 384s 2.21.7. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s 384s 2.21.8. Executing OPT_EXPR pass (perform const folding). 384s Optimizing module design_ice. 384s 384s 2.21.9. Finished OPT passes. (There is nothing left to do.) 384s 384s 2.22. Executing MEMORY pass. 384s 384s 2.22.1. Executing OPT_MEM pass (optimize memories). 384s Performed a total of 0 transformations. 384s 384s 2.22.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). 384s Performed a total of 0 transformations. 384s 384s 2.22.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). 384s 384s 2.22.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 384s 384s 2.22.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). 384s 384s 2.22.6. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s 384s 2.22.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 384s 384s 2.22.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). 384s Performed a total of 0 transformations. 384s 384s 2.22.9. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s 384s 2.22.10. Executing MEMORY_COLLECT pass (generating $mem cells). 384s 384s 2.23. Executing OPT_CLEAN pass (remove unused cells and wires). 384s Finding unused cells or wires in module \design_ice.. 384s 384s 2.24. Executing MEMORY_LIBMAP pass (mapping memories to cells). 384s 384s 2.25. Executing TECHMAP pass (map to technology primitives). 384s 384s 2.25.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/brams_map.v 385s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/brams_map.v' to AST representation. 385s Generating RTLIL representation for module `\$__ICE40_RAM4K_'. 385s Successfully finished Verilog frontend. 385s 385s 2.25.2. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/spram_map.v 385s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/spram_map.v' to AST representation. 385s Generating RTLIL representation for module `\$__ICE40_SPRAM_'. 385s Successfully finished Verilog frontend. 385s 385s 2.25.3. Continuing TECHMAP pass. 385s No more expansions possible. 385s 385s 385s 2.26. Executing ICE40_BRAMINIT pass. 385s 385s 2.27. Executing OPT pass (performing simple optimizations). 385s 385s 2.27.1. Executing OPT_EXPR pass (perform const folding). 385s Optimizing module design_ice. 385s 385s 2.27.2. Executing OPT_MERGE pass (detect identical cells). 385s Finding identical cells in module `\design_ice'. 385s Removed a total of 0 cells. 385s 385s 2.27.3. Executing OPT_DFF pass (perform DFF optimizations). 385s 385s 2.27.4. Executing OPT_CLEAN pass (remove unused cells and wires). 385s Finding unused cells or wires in module \design_ice.. 385s 385s 2.27.5. Finished fast OPT passes. 385s 385s 2.28. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 385s 385s 2.29. Executing OPT pass (performing simple optimizations). 385s 385s 2.29.1. Executing OPT_EXPR pass (perform const folding). 385s Optimizing module design_ice. 385s 385s 2.29.2. Executing OPT_MERGE pass (detect identical cells). 385s Finding identical cells in module `\design_ice'. 385s Removed a total of 0 cells. 385s 385s 2.29.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 385s Running muxtree optimizer on module \design_ice.. 385s Creating internal representation of mux trees. 385s No muxes found in this module. 385s Removed 0 multiplexer ports. 385s 385s 2.29.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 385s Optimizing cells in module \design_ice. 385s Performed a total of 0 changes. 385s 385s 2.29.5. Executing OPT_MERGE pass (detect identical cells). 385s Finding identical cells in module `\design_ice'. 385s Removed a total of 0 cells. 385s 385s 2.29.6. Executing OPT_DFF pass (perform DFF optimizations). 385s 385s 2.29.7. Executing OPT_CLEAN pass (remove unused cells and wires). 385s Finding unused cells or wires in module \design_ice.. 385s 385s 2.29.8. Executing OPT_EXPR pass (perform const folding). 385s Optimizing module design_ice. 385s 385s 2.29.9. Finished OPT passes. (There is nothing left to do.) 385s 385s 2.30. Executing ICE40_WRAPCARRY pass (wrap carries). 385s 385s 2.31. Executing TECHMAP pass (map to technology primitives). 385s 385s 2.31.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/techmap.v 385s Parsing Verilog input from `/usr/bin/../share/yosys/techmap.v' to AST representation. 385s Generating RTLIL representation for module `\_90_simplemap_bool_ops'. 385s Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. 385s Generating RTLIL representation for module `\_90_simplemap_logic_ops'. 385s Generating RTLIL representation for module `\_90_simplemap_compare_ops'. 385s Generating RTLIL representation for module `\_90_simplemap_various'. 385s Generating RTLIL representation for module `\_90_simplemap_registers'. 385s Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. 385s Generating RTLIL representation for module `\_90_shift_shiftx'. 385s Generating RTLIL representation for module `\_90_fa'. 385s Generating RTLIL representation for module `\_90_lcu'. 385s Generating RTLIL representation for module `\_90_alu'. 385s Generating RTLIL representation for module `\_90_macc'. 385s Generating RTLIL representation for module `\_90_alumacc'. 385s Generating RTLIL representation for module `\$__div_mod_u'. 385s Generating RTLIL representation for module `\$__div_mod_trunc'. 385s Generating RTLIL representation for module `\_90_div'. 385s Generating RTLIL representation for module `\_90_mod'. 385s Generating RTLIL representation for module `\$__div_mod_floor'. 385s Generating RTLIL representation for module `\_90_divfloor'. 385s Generating RTLIL representation for module `\_90_modfloor'. 385s Generating RTLIL representation for module `\_90_pow'. 385s Generating RTLIL representation for module `\_90_pmux'. 385s Generating RTLIL representation for module `\_90_demux'. 385s Generating RTLIL representation for module `\_90_lut'. 385s Successfully finished Verilog frontend. 385s 385s 2.31.2. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/arith_map.v 385s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/arith_map.v' to AST representation. 385s Generating RTLIL representation for module `\_80_ice40_alu'. 385s Successfully finished Verilog frontend. 385s 385s 2.31.3. Continuing TECHMAP pass. 385s Using extmapper simplemap for cells of type $dffe. 385s Using extmapper simplemap for cells of type $dff. 385s No more expansions possible. 385s 385s 385s 2.32. Executing OPT pass (performing simple optimizations). 385s 385s 2.32.1. Executing OPT_EXPR pass (perform const folding). 385s Optimizing module design_ice. 385s 385s 2.32.2. Executing OPT_MERGE pass (detect identical cells). 385s Finding identical cells in module `\design_ice'. 385s Removed a total of 0 cells. 385s 385s 2.32.3. Executing OPT_DFF pass (perform DFF optimizations). 385s 385s 2.32.4. Executing OPT_CLEAN pass (remove unused cells and wires). 385s Finding unused cells or wires in module \design_ice.. 385s 385s 2.32.5. Finished fast OPT passes. 385s 385s 2.33. Executing ICE40_OPT pass (performing simple optimizations). 385s 385s 2.33.1. Running ICE40 specific optimizations. 385s 385s 2.33.2. Executing OPT_EXPR pass (perform const folding). 385s Optimizing module design_ice. 385s 385s 2.33.3. Executing OPT_MERGE pass (detect identical cells). 385s Finding identical cells in module `\design_ice'. 385s Removed a total of 0 cells. 385s 385s 2.33.4. Executing OPT_DFF pass (perform DFF optimizations). 385s 385s 2.33.5. Executing OPT_CLEAN pass (remove unused cells and wires). 385s Finding unused cells or wires in module \design_ice.. 385s 385s 2.33.6. Finished OPT passes. (There is nothing left to do.) 385s 385s 2.34. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). 385s 385s 2.35. Executing TECHMAP pass (map to technology primitives). 385s 385s 2.35.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/ff_map.v 385s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/ff_map.v' to AST representation. 385s Generating RTLIL representation for module `\$_DFF_N_'. 385s Generating RTLIL representation for module `\$_DFF_P_'. 385s Generating RTLIL representation for module `\$_DFFE_NP_'. 385s Generating RTLIL representation for module `\$_DFFE_PP_'. 385s Generating RTLIL representation for module `\$_DFF_NP0_'. 385s Generating RTLIL representation for module `\$_DFF_NP1_'. 385s Generating RTLIL representation for module `\$_DFF_PP0_'. 385s Generating RTLIL representation for module `\$_DFF_PP1_'. 385s Generating RTLIL representation for module `\$_DFFE_NP0P_'. 385s Generating RTLIL representation for module `\$_DFFE_NP1P_'. 385s Generating RTLIL representation for module `\$_DFFE_PP0P_'. 385s Generating RTLIL representation for module `\$_DFFE_PP1P_'. 385s Generating RTLIL representation for module `\$_SDFF_NP0_'. 385s Generating RTLIL representation for module `\$_SDFF_NP1_'. 385s Generating RTLIL representation for module `\$_SDFF_PP0_'. 385s Generating RTLIL representation for module `\$_SDFF_PP1_'. 385s Generating RTLIL representation for module `\$_SDFFCE_NP0P_'. 385s Generating RTLIL representation for module `\$_SDFFCE_NP1P_'. 385s Generating RTLIL representation for module `\$_SDFFCE_PP0P_'. 385s Generating RTLIL representation for module `\$_SDFFCE_PP1P_'. 385s Successfully finished Verilog frontend. 385s 385s 2.35.2. Continuing TECHMAP pass. 385s Using template \$_DFF_P_ for cells of type $_DFF_P_. 385s Using template \$_DFFE_PP_ for cells of type $_DFFE_PP_. 385s No more expansions possible. 385s 385s 385s 2.36. Executing OPT_EXPR pass (perform const folding). 385s Optimizing module design_ice. 385s 385s 2.37. Executing SIMPLEMAP pass (map simple cells to gate primitives). 385s 385s 2.38. Executing ICE40_OPT pass (performing simple optimizations). 385s 385s 2.38.1. Running ICE40 specific optimizations. 385s 385s 2.38.2. Executing OPT_EXPR pass (perform const folding). 385s Optimizing module design_ice. 385s 385s 2.38.3. Executing OPT_MERGE pass (detect identical cells). 385s Finding identical cells in module `\design_ice'. 385s Removed a total of 0 cells. 385s 385s 2.38.4. Executing OPT_DFF pass (perform DFF optimizations). 385s 385s 2.38.5. Executing OPT_CLEAN pass (remove unused cells and wires). 385s Finding unused cells or wires in module \design_ice.. 385s Removed 0 unused cells and 9 unused wires. 385s 385s 385s 2.38.6. Rerunning OPT passes. (Removed registers in this run.) 385s 385s 2.38.7. Running ICE40 specific optimizations. 385s 385s 2.38.8. Executing OPT_EXPR pass (perform const folding). 385s Optimizing module design_ice. 385s 385s 2.38.9. Executing OPT_MERGE pass (detect identical cells). 385s Finding identical cells in module `\design_ice'. 385s Removed a total of 0 cells. 385s 385s 2.38.10. Executing OPT_DFF pass (perform DFF optimizations). 385s 385s 2.38.11. Executing OPT_CLEAN pass (remove unused cells and wires). 385s Finding unused cells or wires in module \design_ice.. 385s 385s 2.38.12. Finished OPT passes. (There is nothing left to do.) 385s 385s 2.39. Executing TECHMAP pass (map to technology primitives). 385s 385s 2.39.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/latches_map.v 385s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/latches_map.v' to AST representation. 385s Generating RTLIL representation for module `\$_DLATCH_N_'. 385s Generating RTLIL representation for module `\$_DLATCH_P_'. 385s Successfully finished Verilog frontend. 385s 385s 2.39.2. Continuing TECHMAP pass. 385s No more expansions possible. 385s 385s 385s 2.40. Executing ABC pass (technology mapping using ABC). 385s 385s 2.40.1. Extracting gate netlist of module `\design_ice' to `/input.blif'.. 385s Extracted 0 gates and 0 wires to a netlist network with 0 inputs and 0 outputs. 385s Don't call ABC as there is nothing to map. 385s Removing temp directory. 385s 385s 2.41. Executing ICE40_WRAPCARRY pass (wrap carries). 385s 385s 2.42. Executing TECHMAP pass (map to technology primitives). 385s 385s 2.42.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/ff_map.v 385s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/ff_map.v' to AST representation. 385s Generating RTLIL representation for module `\$_DFF_N_'. 385s Generating RTLIL representation for module `\$_DFF_P_'. 385s Generating RTLIL representation for module `\$_DFFE_NP_'. 385s Generating RTLIL representation for module `\$_DFFE_PP_'. 385s Generating RTLIL representation for module `\$_DFF_NP0_'. 385s Generating RTLIL representation for module `\$_DFF_NP1_'. 385s Generating RTLIL representation for module `\$_DFF_PP0_'. 385s Generating RTLIL representation for module `\$_DFF_PP1_'. 385s Generating RTLIL representation for module `\$_DFFE_NP0P_'. 385s Generating RTLIL representation for module `\$_DFFE_NP1P_'. 385s Generating RTLIL representation for module `\$_DFFE_PP0P_'. 385s Generating RTLIL representation for module `\$_DFFE_PP1P_'. 385s Generating RTLIL representation for module `\$_SDFF_NP0_'. 385s Generating RTLIL representation for module `\$_SDFF_NP1_'. 385s Generating RTLIL representation for module `\$_SDFF_PP0_'. 385s Generating RTLIL representation for module `\$_SDFF_PP1_'. 385s Generating RTLIL representation for module `\$_SDFFCE_NP0P_'. 385s Generating RTLIL representation for module `\$_SDFFCE_NP1P_'. 385s Generating RTLIL representation for module `\$_SDFFCE_PP0P_'. 385s Generating RTLIL representation for module `\$_SDFFCE_PP1P_'. 385s Successfully finished Verilog frontend. 385s 385s 2.42.2. Continuing TECHMAP pass. 385s No more expansions possible. 385s 385s 385s 2.43. Executing OPT_LUT pass (optimize LUTs). 385s Discovering LUTs. 385s Number of LUTs: 0 385s with \SB_CARRY (#0) 0 385s with \SB_CARRY (#1) 0 385s 385s Eliminating LUTs. 385s Number of LUTs: 0 385s with \SB_CARRY (#0) 0 385s with \SB_CARRY (#1) 0 385s 385s Combining LUTs. 385s Number of LUTs: 0 385s with \SB_CARRY (#0) 0 385s with \SB_CARRY (#1) 0 385s 385s Eliminated 0 LUTs. 385s Combined 0 LUTs. 385s 385s 2.44. Executing TECHMAP pass (map to technology primitives). 385s 385s 2.44.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/cells_map.v 385s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/cells_map.v' to AST representation. 385s Generating RTLIL representation for module `\$lut'. 385s Successfully finished Verilog frontend. 385s 385s 2.44.2. Continuing TECHMAP pass. 385s No more expansions possible. 385s 385s 385s 2.45. Executing AUTONAME pass. 385s Renamed 2 objects in module design_ice (2 iterations). 385s 385s 385s 2.46. Executing HIERARCHY pass (managing design hierarchy). 385s 385s 2.46.1. Analyzing design hierarchy.. 385s Top module: \design_ice 385s 385s 2.46.2. Analyzing design hierarchy.. 385s Top module: \design_ice 385s Removed 0 unused modules. 385s 385s 2.47. Printing statistics. 385s 385s === design_ice === 385s 385s Number of wires: 5 385s Number of wire bits: 5 385s Number of public wires: 5 385s Number of public wire bits: 5 385s Number of memories: 0 385s Number of memory bits: 0 385s Number of processes: 0 385s Number of cells: 2 385s SB_DFF 1 385s SB_DFFE 1 385s 385s 2.48. Executing CHECK pass (checking for obvious problems). 385s Checking module design_ice... 385s Found and reported 0 problems. 385s 385s 2.49. Executing BLIF backend. 385s 385s End of script. Logfile hash: 4310cf1ae8, CPU: user 0.84s system 0.01s, MEM: 22.00 MB peak 385s Yosys 0.23 (git sha1 7ce5011c24b) 385s Time spent: 75% 13x read_verilog (0 sec), 7% 1x synth_ice40 (0 sec), ... 385s autopkgtest [10:54:53]: test ice: -----------------------] 386s ice PASS 386s autopkgtest [10:54:54]: test ice: - - - - - - - - - - results - - - - - - - - - - 386s autopkgtest [10:54:54]: test smtbc: preparing testbed 387s Reading package lists... 388s Building dependency tree... 388s Reading state information... 388s Starting pkgProblemResolver with broken count: 0 388s Starting 2 pkgProblemResolver with broken count: 0 388s Done 389s The following NEW packages will be installed: 389s autopkgtest-satdep 389s 0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. 389s Need to get 0 B/712 B of archives. 389s After this operation, 0 B of additional disk space will be used. 389s Get:1 /tmp/autopkgtest.qdTHrq/2-autopkgtest-satdep.deb autopkgtest-satdep ppc64el 0 [712 B] 389s Selecting previously unselected package autopkgtest-satdep. 389s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 86686 files and directories currently installed.) 389s Preparing to unpack .../2-autopkgtest-satdep.deb ... 389s Unpacking autopkgtest-satdep (0) ... 389s Setting up autopkgtest-satdep (0) ... 392s (Reading database ... 86686 files and directories currently installed.) 392s Removing autopkgtest-satdep (0) ... 393s autopkgtest [10:55:01]: test smtbc: [----------------------- 393s autopkgtest [10:55:01]: test smtbc: -----------------------] 394s smtbc PASS 394s autopkgtest [10:55:02]: test smtbc: - - - - - - - - - - results - - - - - - - - - - 394s autopkgtest [10:55:02]: @@@@@@@@@@@@@@@@@@@@ summary 394s ice PASS 394s smtbc PASS 407s Creating nova instance adt-noble-ppc64el-yosys-20240326-104827-juju-7f2275-prod-proposed-migration-environment-2 from image adt/ubuntu-noble-ppc64el-server-20240325.img (UUID ce50e202-ac12-4562-879d-419903f0141e)...