0s autopkgtest [16:45:05]: starting date and time: 2024-03-23 16:45:05+0000 0s autopkgtest [16:45:05]: git checkout: 4a1cd702 l/adt_testbed: don't blame the testbed for unsolvable build deps 0s autopkgtest [16:45:05]: host juju-7f2275-prod-proposed-migration-environment-3; command line: /home/ubuntu/autopkgtest/runner/autopkgtest --output-dir /tmp/autopkgtest-work.wjqmthgr/out --timeout-copy=6000 --setup-commands /home/ubuntu/autopkgtest-cloud/worker-config-production/setup-canonical.sh --setup-commands /home/ubuntu/autopkgtest/setup-commands/setup-testbed --apt-pocket=proposed=src:readline,src:asymptote --apt-upgrade yosys --timeout-short=300 --timeout-copy=20000 --timeout-build=20000 '--env=ADT_TEST_TRIGGERS=readline/8.2-4 asymptote/2.87+ds-1build1' -- ssh -s /home/ubuntu/autopkgtest/ssh-setup/nova -- --flavor autopkgtest --security-groups autopkgtest-juju-7f2275-prod-proposed-migration-environment-3@bos02-ppc64el-25.secgroup --name adt-noble-ppc64el-yosys-20240323-164505-juju-7f2275-prod-proposed-migration-environment-3 --image adt/ubuntu-noble-ppc64el-server --keyname testbed-juju-7f2275-prod-proposed-migration-environment-3 --net-id=net_prod-proposed-migration -e TERM=linux -e ''"'"'http_proxy=http://squid.internal:3128'"'"'' -e ''"'"'https_proxy=http://squid.internal:3128'"'"'' -e ''"'"'no_proxy=127.0.0.1,127.0.1.1,login.ubuntu.com,localhost,localdomain,novalocal,internal,archive.ubuntu.com,ports.ubuntu.com,security.ubuntu.com,ddebs.ubuntu.com,changelogs.ubuntu.com,launchpadlibrarian.net,launchpadcontent.net,launchpad.net,10.24.0.0/24,keystone.ps5.canonical.com,objectstorage.prodstack5.canonical.com'"'"'' --mirror=http://ftpmaster.internal/ubuntu/ 124s autopkgtest [16:47:09]: testbed dpkg architecture: ppc64el 125s autopkgtest [16:47:10]: testbed apt version: 2.7.12 125s autopkgtest [16:47:10]: @@@@@@@@@@@@@@@@@@@@ test bed setup 125s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] 126s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [56.9 kB] 126s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [3969 kB] 126s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [493 kB] 126s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [6540 B] 126s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main ppc64el Packages [659 kB] 126s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main ppc64el c-n-f Metadata [3116 B] 126s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted ppc64el Packages [1372 B] 126s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted ppc64el c-n-f Metadata [116 B] 126s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe ppc64el Packages [4248 kB] 126s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe ppc64el c-n-f Metadata [8652 B] 126s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse ppc64el Packages [60.8 kB] 126s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse ppc64el c-n-f Metadata [116 B] 130s Fetched 9623 kB in 2s (4087 kB/s) 130s Reading package lists... 133s Reading package lists... 133s Building dependency tree... 133s Reading state information... 133s Calculating upgrade... 133s The following packages will be upgraded: 133s readline-common 133s 1 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 133s Need to get 56.4 kB of archives. 133s After this operation, 0 B of additional disk space will be used. 133s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main ppc64el readline-common all 8.2-4 [56.4 kB] 134s Fetched 56.4 kB in 0s (192 kB/s) 134s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 70156 files and directories currently installed.) 134s Preparing to unpack .../readline-common_8.2-4_all.deb ... 134s Unpacking readline-common (8.2-4) over (8.2-3) ... 134s Setting up readline-common (8.2-4) ... 134s Processing triggers for install-info (7.1-3) ... 134s Processing triggers for man-db (2.12.0-3) ... 134s Reading package lists... 134s Building dependency tree... 134s Reading state information... 135s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 135s sh: Attempting to set up Debian/Ubuntu apt sources automatically 135s sh: Distribution appears to be Ubuntu 136s Reading package lists... 136s Building dependency tree... 136s Reading state information... 137s eatmydata is already the newest version (131-1). 137s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 137s Reading package lists... 137s Building dependency tree... 137s Reading state information... 137s dbus is already the newest version (1.14.10-4ubuntu1). 137s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 137s Reading package lists... 137s Building dependency tree... 137s Reading state information... 137s rng-tools-debian is already the newest version (2.4). 137s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 137s Reading package lists... 138s Building dependency tree... 138s Reading state information... 138s The following packages will be REMOVED: 138s cloud-init* python3-configobj* python3-debconf* 138s 0 upgraded, 0 newly installed, 3 to remove and 0 not upgraded. 138s After this operation, 3256 kB disk space will be freed. 138s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 70156 files and directories currently installed.) 138s Removing cloud-init (24.1.2-0ubuntu1) ... 139s Removing python3-configobj (5.0.8-3) ... 139s Removing python3-debconf (1.5.86) ... 139s Processing triggers for man-db (2.12.0-3) ... 139s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 69767 files and directories currently installed.) 139s Purging configuration files for cloud-init (24.1.2-0ubuntu1) ... 140s dpkg: warning: while removing cloud-init, directory '/etc/cloud/cloud.cfg.d' not empty so not removed 140s Processing triggers for rsyslog (8.2312.0-3ubuntu3) ... 140s invoke-rc.d: policy-rc.d denied execution of try-restart. 140s Reading package lists... 140s Building dependency tree... 140s Reading state information... 140s linux-generic is already the newest version (6.8.0-11.11+1). 140s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 141s Hit:1 http://ftpmaster.internal/ubuntu noble InRelease 141s Hit:2 http://ftpmaster.internal/ubuntu noble-updates InRelease 141s Hit:3 http://ftpmaster.internal/ubuntu noble-security InRelease 143s Reading package lists... 143s Reading package lists... 144s Building dependency tree... 144s Reading state information... 144s Calculating upgrade... 144s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 144s Reading package lists... 144s Building dependency tree... 144s Reading state information... 144s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 145s autopkgtest [16:47:30]: rebooting testbed after setup commands that affected boot 180s autopkgtest [16:48:05]: testbed running kernel: Linux 6.8.0-11-generic #11-Ubuntu SMP Wed Feb 14 00:33:03 UTC 2024 183s autopkgtest [16:48:08]: @@@@@@@@@@@@@@@@@@@@ apt-source yosys 186s Get:1 http://ftpmaster.internal/ubuntu noble/universe yosys 0.23-6 (dsc) [2520 B] 186s Get:2 http://ftpmaster.internal/ubuntu noble/universe yosys 0.23-6 (tar) [2369 kB] 186s Get:3 http://ftpmaster.internal/ubuntu noble/universe yosys 0.23-6 (diff) [18.0 kB] 186s gpgv: Signature made Sat Dec 3 22:16:42 2022 UTC 186s gpgv: using RSA key 57A1BF15B4F6F99B89EDB29FD39481AE1E79ACF7 186s gpgv: Can't check signature: No public key 186s dpkg-source: warning: cannot verify inline signature for ./yosys_0.23-6.dsc: no acceptable signature found 186s autopkgtest [16:48:11]: testing package yosys version 0.23-6 186s autopkgtest [16:48:11]: build not needed 188s autopkgtest [16:48:13]: test ice: preparing testbed 196s Reading package lists... 196s Building dependency tree... 196s Reading state information... 196s Starting pkgProblemResolver with broken count: 0 196s Starting 2 pkgProblemResolver with broken count: 0 196s Done 197s The following additional packages will be installed: 197s adwaita-icon-theme at-spi2-common berkeley-abc dconf-gsettings-backend 197s dconf-service fontconfig fontconfig-config fonts-dejavu-core 197s fonts-dejavu-mono gir1.2-atk-1.0 gir1.2-freedesktop gir1.2-gdkpixbuf-2.0 197s gir1.2-gtk-3.0 gir1.2-harfbuzz-0.0 gir1.2-pango-1.0 graphviz 197s gtk-update-icon-cache hicolor-icon-theme humanity-icon-theme libann0 197s libatk-bridge2.0-0 libatk1.0-0 libatspi2.0-0 libavahi-client3 197s libavahi-common-data libavahi-common3 libblas3 libc-dev-bin libc6-dev 197s libcairo-gobject2 libcairo2 libcdt5 libcgraph6 libcolord2 libcrypt-dev 197s libcups2 libdatrie1 libdconf1 libdeflate0 libepoxy0 libffi-dev 197s libfontconfig1 libgd3 libgdk-pixbuf-2.0-0 libgdk-pixbuf2.0-common 197s libgfortran5 libgraphite2-3 libgtk-3-0 libgtk-3-common libgts-0.7-5 libgvc6 197s libgvpr2 libharfbuzz-gobject0 libharfbuzz0b libice6 libjbig0 libjpeg-turbo8 197s libjpeg8 liblab-gamut1 liblapack3 liblcms2-2 liblerc4 libltdl7 197s libncurses-dev libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 197s libpangoxft-1.0-0 libpathplan4 libpixman-1-0 libreadline-dev libsharpyuv0 197s libsm6 libtcl8.6 libthai-data libthai0 libtiff6 libwayland-client0 197s libwayland-cursor0 libwayland-egl1 libwebp7 libxaw7 libxcb-render0 197s libxcb-shm0 libxcomposite1 libxcursor1 libxdamage1 libxfixes3 libxft2 libxi6 197s libxinerama1 libxmu6 libxpm4 libxrandr2 libxrender1 libxt6 linux-libc-dev 197s python3-cairo python3-click python3-colorama python3-gi-cairo python3-numpy 197s rpcsvc-proto tcl tcl-dev tcl8.6 tcl8.6-dev ubuntu-mono x11-common xdot yosys 197s yosys-dev yosys-doc zlib1g-dev 197s Suggested packages: 197s gsfonts graphviz-doc glibc-doc manpages-dev colord cups-common libgd-tools 197s gvfs liblcms2-utils ncurses-doc readline-doc gcc gfortran python3-dev 197s python3-pytest tcl-doc tcl-tclreadline tcl8.6-doc 197s Recommended packages: 197s librsvg2-common fonts-liberation2 at-spi2-core manpages manpages-dev 197s libc-devtools libgdk-pixbuf2.0-bin libgtk-3-bin libgts-bin 197s The following NEW packages will be installed: 197s adwaita-icon-theme at-spi2-common autopkgtest-satdep berkeley-abc 197s dconf-gsettings-backend dconf-service fontconfig fontconfig-config 197s fonts-dejavu-core fonts-dejavu-mono gir1.2-atk-1.0 gir1.2-freedesktop 197s gir1.2-gdkpixbuf-2.0 gir1.2-gtk-3.0 gir1.2-harfbuzz-0.0 gir1.2-pango-1.0 197s graphviz gtk-update-icon-cache hicolor-icon-theme humanity-icon-theme 197s libann0 libatk-bridge2.0-0 libatk1.0-0 libatspi2.0-0 libavahi-client3 197s libavahi-common-data libavahi-common3 libblas3 libc-dev-bin libc6-dev 197s libcairo-gobject2 libcairo2 libcdt5 libcgraph6 libcolord2 libcrypt-dev 197s libcups2 libdatrie1 libdconf1 libdeflate0 libepoxy0 libffi-dev 197s libfontconfig1 libgd3 libgdk-pixbuf-2.0-0 libgdk-pixbuf2.0-common 197s libgfortran5 libgraphite2-3 libgtk-3-0 libgtk-3-common libgts-0.7-5 libgvc6 197s libgvpr2 libharfbuzz-gobject0 libharfbuzz0b libice6 libjbig0 libjpeg-turbo8 197s libjpeg8 liblab-gamut1 liblapack3 liblcms2-2 liblerc4 libltdl7 197s libncurses-dev libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 197s libpangoxft-1.0-0 libpathplan4 libpixman-1-0 libreadline-dev libsharpyuv0 197s libsm6 libtcl8.6 libthai-data libthai0 libtiff6 libwayland-client0 197s libwayland-cursor0 libwayland-egl1 libwebp7 libxaw7 libxcb-render0 197s libxcb-shm0 libxcomposite1 libxcursor1 libxdamage1 libxfixes3 libxft2 libxi6 197s libxinerama1 libxmu6 libxpm4 libxrandr2 libxrender1 libxt6 linux-libc-dev 197s python3-cairo python3-click python3-colorama python3-gi-cairo python3-numpy 197s rpcsvc-proto tcl tcl-dev tcl8.6 tcl8.6-dev ubuntu-mono x11-common xdot yosys 197s yosys-dev yosys-doc zlib1g-dev 197s 0 upgraded, 115 newly installed, 0 to remove and 0 not upgraded. 197s Need to get 48.7 MB/48.7 MB of archives. 197s After this operation, 220 MB of additional disk space will be used. 197s Get:1 /tmp/autopkgtest.suiVSu/1-autopkgtest-satdep.deb autopkgtest-satdep ppc64el 0 [716 B] 197s Get:2 http://ftpmaster.internal/ubuntu noble/main ppc64el libgdk-pixbuf2.0-common all 2.42.10+dfsg-3 [7624 B] 197s Get:3 http://ftpmaster.internal/ubuntu noble/main ppc64el libjpeg-turbo8 ppc64el 2.1.5-2ubuntu1 [212 kB] 197s Get:4 http://ftpmaster.internal/ubuntu noble/main ppc64el libjpeg8 ppc64el 8c-2ubuntu11 [2148 B] 197s Get:5 http://ftpmaster.internal/ubuntu noble/main ppc64el libdeflate0 ppc64el 1.19-1 [61.9 kB] 197s Get:6 http://ftpmaster.internal/ubuntu noble/main ppc64el libjbig0 ppc64el 2.1-6.1ubuntu1 [34.7 kB] 197s Get:7 http://ftpmaster.internal/ubuntu noble/main ppc64el liblerc4 ppc64el 4.0.0+ds-4ubuntu1 [266 kB] 197s Get:8 http://ftpmaster.internal/ubuntu noble/main ppc64el libsharpyuv0 ppc64el 1.3.2-0.4 [28.7 kB] 197s Get:9 http://ftpmaster.internal/ubuntu noble/main ppc64el libwebp7 ppc64el 1.3.2-0.4 [312 kB] 197s Get:10 http://ftpmaster.internal/ubuntu noble/main ppc64el libtiff6 ppc64el 4.5.1+git230720-3ubuntu1 [323 kB] 197s Get:11 http://ftpmaster.internal/ubuntu noble/main ppc64el libgdk-pixbuf-2.0-0 ppc64el 2.42.10+dfsg-3 [186 kB] 197s Get:12 http://ftpmaster.internal/ubuntu noble/main ppc64el gtk-update-icon-cache ppc64el 3.24.40-2ubuntu1 [53.2 kB] 197s Get:13 http://ftpmaster.internal/ubuntu noble/main ppc64el hicolor-icon-theme all 0.17-2 [9976 B] 197s Get:14 http://ftpmaster.internal/ubuntu noble/main ppc64el humanity-icon-theme all 0.6.16 [1282 kB] 197s Get:15 http://ftpmaster.internal/ubuntu noble/main ppc64el ubuntu-mono all 24.04-0ubuntu1 [151 kB] 197s Get:16 http://ftpmaster.internal/ubuntu noble/main ppc64el adwaita-icon-theme all 46~rc-1 [723 kB] 197s Get:17 http://ftpmaster.internal/ubuntu noble/main ppc64el at-spi2-common all 2.50.0-1 [7864 B] 197s Get:18 http://ftpmaster.internal/ubuntu noble/universe ppc64el berkeley-abc ppc64el 1.01+20230625git01b1bd1+dfsg-3 [5606 kB] 198s Get:19 http://ftpmaster.internal/ubuntu noble/main ppc64el libdconf1 ppc64el 0.40.0-4 [43.0 kB] 198s Get:20 http://ftpmaster.internal/ubuntu noble/main ppc64el dconf-service ppc64el 0.40.0-4 [31.0 kB] 198s Get:21 http://ftpmaster.internal/ubuntu noble/main ppc64el dconf-gsettings-backend ppc64el 0.40.0-4 [25.3 kB] 198s Get:22 http://ftpmaster.internal/ubuntu noble/main ppc64el fonts-dejavu-mono all 2.37-8 [502 kB] 198s Get:23 http://ftpmaster.internal/ubuntu noble/main ppc64el fonts-dejavu-core all 2.37-8 [835 kB] 198s Get:24 http://ftpmaster.internal/ubuntu noble/main ppc64el fontconfig-config ppc64el 2.15.0-1ubuntu1 [37.0 kB] 198s Get:25 http://ftpmaster.internal/ubuntu noble/main ppc64el libfontconfig1 ppc64el 2.15.0-1ubuntu1 [190 kB] 198s Get:26 http://ftpmaster.internal/ubuntu noble/main ppc64el fontconfig ppc64el 2.15.0-1ubuntu1 [192 kB] 198s Get:27 http://ftpmaster.internal/ubuntu noble/main ppc64el libatk1.0-0 ppc64el 2.50.0-1 [57.8 kB] 198s Get:28 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-atk-1.0 ppc64el 2.50.0-1 [22.8 kB] 198s Get:29 http://ftpmaster.internal/ubuntu noble/main ppc64el libpixman-1-0 ppc64el 0.42.2-1 [300 kB] 198s Get:30 http://ftpmaster.internal/ubuntu noble/main ppc64el libxcb-render0 ppc64el 1.15-1 [17.2 kB] 198s Get:31 http://ftpmaster.internal/ubuntu noble/main ppc64el libxcb-shm0 ppc64el 1.15-1 [5896 B] 198s Get:32 http://ftpmaster.internal/ubuntu noble/main ppc64el libxrender1 ppc64el 1:0.9.10-1.1 [23.3 kB] 198s Get:33 http://ftpmaster.internal/ubuntu noble/main ppc64el libcairo2 ppc64el 1.18.0-1 [735 kB] 198s Get:34 http://ftpmaster.internal/ubuntu noble/main ppc64el libcairo-gobject2 ppc64el 1.18.0-1 [127 kB] 198s Get:35 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-freedesktop ppc64el 1.79.1-1 [48.5 kB] 198s Get:36 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-gdkpixbuf-2.0 ppc64el 2.42.10+dfsg-3 [9480 B] 198s Get:37 http://ftpmaster.internal/ubuntu noble/main ppc64el libgraphite2-3 ppc64el 1.3.14-2 [105 kB] 198s Get:38 http://ftpmaster.internal/ubuntu noble/main ppc64el libharfbuzz0b ppc64el 8.3.0-2 [576 kB] 198s Get:39 http://ftpmaster.internal/ubuntu noble/main ppc64el libharfbuzz-gobject0 ppc64el 8.3.0-2 [34.7 kB] 198s Get:40 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-harfbuzz-0.0 ppc64el 8.3.0-2 [44.5 kB] 198s Get:41 http://ftpmaster.internal/ubuntu noble/main ppc64el libthai-data all 0.1.29-2 [158 kB] 198s Get:42 http://ftpmaster.internal/ubuntu noble/main ppc64el libdatrie1 ppc64el 0.2.13-3 [25.1 kB] 198s Get:43 http://ftpmaster.internal/ubuntu noble/main ppc64el libthai0 ppc64el 0.1.29-2 [21.4 kB] 198s Get:44 http://ftpmaster.internal/ubuntu noble/main ppc64el libpango-1.0-0 ppc64el 1.51.0+ds-4 [266 kB] 198s Get:45 http://ftpmaster.internal/ubuntu noble/main ppc64el libpangoft2-1.0-0 ppc64el 1.51.0+ds-4 [49.5 kB] 198s Get:46 http://ftpmaster.internal/ubuntu noble/main ppc64el libpangocairo-1.0-0 ppc64el 1.51.0+ds-4 [31.2 kB] 198s Get:47 http://ftpmaster.internal/ubuntu noble/main ppc64el libxft2 ppc64el 2.3.6-1 [59.8 kB] 198s Get:48 http://ftpmaster.internal/ubuntu noble/main ppc64el libpangoxft-1.0-0 ppc64el 1.51.0+ds-4 [23.0 kB] 198s Get:49 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-pango-1.0 ppc64el 1.51.0+ds-4 [34.9 kB] 198s Get:50 http://ftpmaster.internal/ubuntu noble/main ppc64el libxi6 ppc64el 2:1.8.1-1 [37.9 kB] 198s Get:51 http://ftpmaster.internal/ubuntu noble/main ppc64el libatspi2.0-0 ppc64el 2.50.0-1 [93.5 kB] 198s Get:52 http://ftpmaster.internal/ubuntu noble/main ppc64el libatk-bridge2.0-0 ppc64el 2.50.0-1 [75.5 kB] 198s Get:53 http://ftpmaster.internal/ubuntu noble/main ppc64el liblcms2-2 ppc64el 2.14-2 [243 kB] 198s Get:54 http://ftpmaster.internal/ubuntu noble/main ppc64el libcolord2 ppc64el 1.4.7-1 [164 kB] 198s Get:55 http://ftpmaster.internal/ubuntu noble/main ppc64el libavahi-common-data ppc64el 0.8-13ubuntu2 [29.5 kB] 198s Get:56 http://ftpmaster.internal/ubuntu noble/main ppc64el libavahi-common3 ppc64el 0.8-13ubuntu2 [25.8 kB] 198s Get:57 http://ftpmaster.internal/ubuntu noble/main ppc64el libavahi-client3 ppc64el 0.8-13ubuntu2 [30.6 kB] 198s Get:58 http://ftpmaster.internal/ubuntu noble/main ppc64el libcups2 ppc64el 2.4.6-0ubuntu3 [344 kB] 198s Get:59 http://ftpmaster.internal/ubuntu noble/main ppc64el libepoxy0 ppc64el 1.5.10-1 [247 kB] 198s Get:60 http://ftpmaster.internal/ubuntu noble/main ppc64el libwayland-client0 ppc64el 1.22.0-2.1 [29.4 kB] 198s Get:61 http://ftpmaster.internal/ubuntu noble/main ppc64el libwayland-cursor0 ppc64el 1.22.0-2.1 [11.4 kB] 198s Get:62 http://ftpmaster.internal/ubuntu noble/main ppc64el libwayland-egl1 ppc64el 1.22.0-2.1 [5626 B] 198s Get:63 http://ftpmaster.internal/ubuntu noble/main ppc64el libxcomposite1 ppc64el 1:0.4.5-1build2 [7400 B] 198s Get:64 http://ftpmaster.internal/ubuntu noble/main ppc64el libxfixes3 ppc64el 1:6.0.0-2 [11.6 kB] 198s Get:65 http://ftpmaster.internal/ubuntu noble/main ppc64el libxcursor1 ppc64el 1:1.2.1-1 [26.9 kB] 198s Get:66 http://ftpmaster.internal/ubuntu noble/main ppc64el libxdamage1 ppc64el 1:1.1.6-1 [6320 B] 198s Get:67 http://ftpmaster.internal/ubuntu noble/main ppc64el libxinerama1 ppc64el 2:1.1.4-3 [7658 B] 198s Get:68 http://ftpmaster.internal/ubuntu noble/main ppc64el libxrandr2 ppc64el 2:1.5.2-2 [22.7 kB] 198s Get:69 http://ftpmaster.internal/ubuntu noble/main ppc64el libgtk-3-common all 3.24.40-2ubuntu1 [1200 kB] 198s Get:70 http://ftpmaster.internal/ubuntu noble/main ppc64el libgtk-3-0 ppc64el 3.24.40-2ubuntu1 [3344 kB] 198s Get:71 http://ftpmaster.internal/ubuntu noble/main ppc64el gir1.2-gtk-3.0 ppc64el 3.24.40-2ubuntu1 [245 kB] 198s Get:72 http://ftpmaster.internal/ubuntu noble/universe ppc64el libann0 ppc64el 1.1.2+doc-9 [30.0 kB] 198s Get:73 http://ftpmaster.internal/ubuntu noble/universe ppc64el libcdt5 ppc64el 2.42.2-8build1 [27.3 kB] 198s Get:74 http://ftpmaster.internal/ubuntu noble/universe ppc64el libcgraph6 ppc64el 2.42.2-8build1 [54.3 kB] 198s Get:75 http://ftpmaster.internal/ubuntu noble/main ppc64el libxpm4 ppc64el 1:3.5.17-1 [48.6 kB] 198s Get:76 http://ftpmaster.internal/ubuntu noble/main ppc64el libgd3 ppc64el 2.3.3-9ubuntu1 [155 kB] 198s Get:77 http://ftpmaster.internal/ubuntu noble/universe ppc64el libgts-0.7-5 ppc64el 0.7.6+darcs121130-5 [189 kB] 198s Get:78 http://ftpmaster.internal/ubuntu noble/main ppc64el libltdl7 ppc64el 2.4.7-7 [48.0 kB] 198s Get:79 http://ftpmaster.internal/ubuntu noble/universe ppc64el libpathplan4 ppc64el 2.42.2-8build1 [30.1 kB] 198s Get:80 http://ftpmaster.internal/ubuntu noble/universe ppc64el libgvc6 ppc64el 2.42.2-8build1 [919 kB] 198s Get:81 http://ftpmaster.internal/ubuntu noble/universe ppc64el libgvpr2 ppc64el 2.42.2-8build1 [210 kB] 198s Get:82 http://ftpmaster.internal/ubuntu noble/universe ppc64el liblab-gamut1 ppc64el 2.42.2-8build1 [1860 kB] 198s Get:83 http://ftpmaster.internal/ubuntu noble/main ppc64el x11-common all 1:7.7+23ubuntu2 [23.4 kB] 198s Get:84 http://ftpmaster.internal/ubuntu noble/main ppc64el libice6 ppc64el 2:1.0.10-1build2 [49.3 kB] 198s Get:85 http://ftpmaster.internal/ubuntu noble/main ppc64el libsm6 ppc64el 2:1.2.3-1build2 [18.5 kB] 198s Get:86 http://ftpmaster.internal/ubuntu noble/main ppc64el libxt6 ppc64el 1:1.2.1-1.1 [198 kB] 198s Get:87 http://ftpmaster.internal/ubuntu noble/main ppc64el libxmu6 ppc64el 2:1.1.3-3 [57.2 kB] 198s Get:88 http://ftpmaster.internal/ubuntu noble/main ppc64el libxaw7 ppc64el 2:1.0.14-1 [227 kB] 198s Get:89 http://ftpmaster.internal/ubuntu noble/universe ppc64el graphviz ppc64el 2.42.2-8build1 [822 kB] 198s Get:90 http://ftpmaster.internal/ubuntu noble/main ppc64el libblas3 ppc64el 3.12.0-3 [227 kB] 198s Get:91 http://ftpmaster.internal/ubuntu noble/main ppc64el libc-dev-bin ppc64el 2.39-0ubuntu6 [21.3 kB] 198s Get:92 http://ftpmaster.internal/ubuntu noble/main ppc64el linux-libc-dev ppc64el 6.8.0-11.11 [1585 kB] 198s Get:93 http://ftpmaster.internal/ubuntu noble/main ppc64el libcrypt-dev ppc64el 1:4.4.36-4 [167 kB] 198s Get:94 http://ftpmaster.internal/ubuntu noble/main ppc64el rpcsvc-proto ppc64el 1.4.2-0ubuntu6 [82.3 kB] 198s Get:95 http://ftpmaster.internal/ubuntu noble/main ppc64el libc6-dev ppc64el 2.39-0ubuntu6 [2102 kB] 198s Get:96 http://ftpmaster.internal/ubuntu noble/main ppc64el libgfortran5 ppc64el 14-20240303-1ubuntu1 [574 kB] 198s Get:97 http://ftpmaster.internal/ubuntu noble/main ppc64el liblapack3 ppc64el 3.12.0-3 [2804 kB] 199s Get:98 http://ftpmaster.internal/ubuntu noble/main ppc64el libncurses-dev ppc64el 6.4+20240113-1ubuntu1 [485 kB] 199s Get:99 http://ftpmaster.internal/ubuntu noble/main ppc64el libreadline-dev ppc64el 8.2-3 [226 kB] 199s Get:100 http://ftpmaster.internal/ubuntu noble/main ppc64el libtcl8.6 ppc64el 8.6.13+dfsg-2 [1179 kB] 199s Get:101 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-cairo ppc64el 1.25.1-2 [162 kB] 199s Get:102 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-colorama all 0.4.6-4 [32.1 kB] 199s Get:103 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-click all 8.1.6-1 [79.0 kB] 199s Get:104 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-gi-cairo ppc64el 3.47.0-3 [9904 B] 199s Get:105 http://ftpmaster.internal/ubuntu noble/main ppc64el python3-numpy ppc64el 1:1.24.2-2 [5581 kB] 200s Get:106 http://ftpmaster.internal/ubuntu noble/main ppc64el tcl8.6 ppc64el 8.6.13+dfsg-2 [14.8 kB] 200s Get:107 http://ftpmaster.internal/ubuntu noble/main ppc64el tcl ppc64el 8.6.13 [3994 B] 200s Get:108 http://ftpmaster.internal/ubuntu noble/main ppc64el zlib1g-dev ppc64el 1:1.3.dfsg-3ubuntu1 [902 kB] 200s Get:109 http://ftpmaster.internal/ubuntu noble/main ppc64el tcl8.6-dev ppc64el 8.6.13+dfsg-2 [1202 kB] 200s Get:110 http://ftpmaster.internal/ubuntu noble/main ppc64el tcl-dev ppc64el 8.6.13 [5750 B] 200s Get:111 http://ftpmaster.internal/ubuntu noble/universe ppc64el xdot all 1.3-1 [30.3 kB] 200s Get:112 http://ftpmaster.internal/ubuntu noble/universe ppc64el yosys ppc64el 0.23-6 [3442 kB] 200s Get:113 http://ftpmaster.internal/ubuntu noble/main ppc64el libffi-dev ppc64el 3.4.6-1 [67.4 kB] 200s Get:114 http://ftpmaster.internal/ubuntu noble/universe ppc64el yosys-dev ppc64el 0.23-6 [82.3 kB] 200s Get:115 http://ftpmaster.internal/ubuntu noble/universe ppc64el yosys-doc all 0.23-6 [2546 kB] 201s Fetched 48.7 MB in 4s (13.6 MB/s) 201s Selecting previously unselected package libgdk-pixbuf2.0-common. 201s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 69712 files and directories currently installed.) 201s Preparing to unpack .../000-libgdk-pixbuf2.0-common_2.42.10+dfsg-3_all.deb ... 201s Unpacking libgdk-pixbuf2.0-common (2.42.10+dfsg-3) ... 201s Selecting previously unselected package libjpeg-turbo8:ppc64el. 201s Preparing to unpack .../001-libjpeg-turbo8_2.1.5-2ubuntu1_ppc64el.deb ... 201s Unpacking libjpeg-turbo8:ppc64el (2.1.5-2ubuntu1) ... 201s Selecting previously unselected package libjpeg8:ppc64el. 201s Preparing to unpack .../002-libjpeg8_8c-2ubuntu11_ppc64el.deb ... 201s Unpacking libjpeg8:ppc64el (8c-2ubuntu11) ... 201s Selecting previously unselected package libdeflate0:ppc64el. 201s Preparing to unpack .../003-libdeflate0_1.19-1_ppc64el.deb ... 201s Unpacking libdeflate0:ppc64el (1.19-1) ... 201s Selecting previously unselected package libjbig0:ppc64el. 201s Preparing to unpack .../004-libjbig0_2.1-6.1ubuntu1_ppc64el.deb ... 201s Unpacking libjbig0:ppc64el (2.1-6.1ubuntu1) ... 201s Selecting previously unselected package liblerc4:ppc64el. 201s Preparing to unpack .../005-liblerc4_4.0.0+ds-4ubuntu1_ppc64el.deb ... 201s Unpacking liblerc4:ppc64el (4.0.0+ds-4ubuntu1) ... 201s Selecting previously unselected package libsharpyuv0:ppc64el. 201s Preparing to unpack .../006-libsharpyuv0_1.3.2-0.4_ppc64el.deb ... 201s Unpacking libsharpyuv0:ppc64el (1.3.2-0.4) ... 201s Selecting previously unselected package libwebp7:ppc64el. 201s Preparing to unpack .../007-libwebp7_1.3.2-0.4_ppc64el.deb ... 201s Unpacking libwebp7:ppc64el (1.3.2-0.4) ... 201s Selecting previously unselected package libtiff6:ppc64el. 201s Preparing to unpack .../008-libtiff6_4.5.1+git230720-3ubuntu1_ppc64el.deb ... 201s Unpacking libtiff6:ppc64el (4.5.1+git230720-3ubuntu1) ... 201s Selecting previously unselected package libgdk-pixbuf-2.0-0:ppc64el. 201s Preparing to unpack .../009-libgdk-pixbuf-2.0-0_2.42.10+dfsg-3_ppc64el.deb ... 201s Unpacking libgdk-pixbuf-2.0-0:ppc64el (2.42.10+dfsg-3) ... 201s Selecting previously unselected package gtk-update-icon-cache. 201s Preparing to unpack .../010-gtk-update-icon-cache_3.24.40-2ubuntu1_ppc64el.deb ... 201s Unpacking gtk-update-icon-cache (3.24.40-2ubuntu1) ... 201s Selecting previously unselected package hicolor-icon-theme. 201s Preparing to unpack .../011-hicolor-icon-theme_0.17-2_all.deb ... 201s Unpacking hicolor-icon-theme (0.17-2) ... 201s Selecting previously unselected package humanity-icon-theme. 201s Preparing to unpack .../012-humanity-icon-theme_0.6.16_all.deb ... 201s Unpacking humanity-icon-theme (0.6.16) ... 202s Selecting previously unselected package ubuntu-mono. 202s Preparing to unpack .../013-ubuntu-mono_24.04-0ubuntu1_all.deb ... 202s Unpacking ubuntu-mono (24.04-0ubuntu1) ... 202s Selecting previously unselected package adwaita-icon-theme. 202s Preparing to unpack .../014-adwaita-icon-theme_46~rc-1_all.deb ... 202s Unpacking adwaita-icon-theme (46~rc-1) ... 202s Selecting previously unselected package at-spi2-common. 202s Preparing to unpack .../015-at-spi2-common_2.50.0-1_all.deb ... 202s Unpacking at-spi2-common (2.50.0-1) ... 202s Selecting previously unselected package berkeley-abc. 202s Preparing to unpack .../016-berkeley-abc_1.01+20230625git01b1bd1+dfsg-3_ppc64el.deb ... 202s Unpacking berkeley-abc (1.01+20230625git01b1bd1+dfsg-3) ... 203s Selecting previously unselected package libdconf1:ppc64el. 203s Preparing to unpack .../017-libdconf1_0.40.0-4_ppc64el.deb ... 203s Unpacking libdconf1:ppc64el (0.40.0-4) ... 203s Selecting previously unselected package dconf-service. 203s Preparing to unpack .../018-dconf-service_0.40.0-4_ppc64el.deb ... 203s Unpacking dconf-service (0.40.0-4) ... 203s Selecting previously unselected package dconf-gsettings-backend:ppc64el. 203s Preparing to unpack .../019-dconf-gsettings-backend_0.40.0-4_ppc64el.deb ... 203s Unpacking dconf-gsettings-backend:ppc64el (0.40.0-4) ... 203s Selecting previously unselected package fonts-dejavu-mono. 203s Preparing to unpack .../020-fonts-dejavu-mono_2.37-8_all.deb ... 203s Unpacking fonts-dejavu-mono (2.37-8) ... 203s Selecting previously unselected package fonts-dejavu-core. 203s Preparing to unpack .../021-fonts-dejavu-core_2.37-8_all.deb ... 203s Unpacking fonts-dejavu-core (2.37-8) ... 203s Selecting previously unselected package fontconfig-config. 203s Preparing to unpack .../022-fontconfig-config_2.15.0-1ubuntu1_ppc64el.deb ... 203s Unpacking fontconfig-config (2.15.0-1ubuntu1) ... 203s Selecting previously unselected package libfontconfig1:ppc64el. 203s Preparing to unpack .../023-libfontconfig1_2.15.0-1ubuntu1_ppc64el.deb ... 203s Unpacking libfontconfig1:ppc64el (2.15.0-1ubuntu1) ... 203s Selecting previously unselected package fontconfig. 203s Preparing to unpack .../024-fontconfig_2.15.0-1ubuntu1_ppc64el.deb ... 203s Unpacking fontconfig (2.15.0-1ubuntu1) ... 203s Selecting previously unselected package libatk1.0-0:ppc64el. 203s Preparing to unpack .../025-libatk1.0-0_2.50.0-1_ppc64el.deb ... 203s Unpacking libatk1.0-0:ppc64el (2.50.0-1) ... 203s Selecting previously unselected package gir1.2-atk-1.0:ppc64el. 203s Preparing to unpack .../026-gir1.2-atk-1.0_2.50.0-1_ppc64el.deb ... 203s Unpacking gir1.2-atk-1.0:ppc64el (2.50.0-1) ... 203s Selecting previously unselected package libpixman-1-0:ppc64el. 203s Preparing to unpack .../027-libpixman-1-0_0.42.2-1_ppc64el.deb ... 203s Unpacking libpixman-1-0:ppc64el (0.42.2-1) ... 203s Selecting previously unselected package libxcb-render0:ppc64el. 203s Preparing to unpack .../028-libxcb-render0_1.15-1_ppc64el.deb ... 203s Unpacking libxcb-render0:ppc64el (1.15-1) ... 203s Selecting previously unselected package libxcb-shm0:ppc64el. 203s Preparing to unpack .../029-libxcb-shm0_1.15-1_ppc64el.deb ... 203s Unpacking libxcb-shm0:ppc64el (1.15-1) ... 203s Selecting previously unselected package libxrender1:ppc64el. 203s Preparing to unpack .../030-libxrender1_1%3a0.9.10-1.1_ppc64el.deb ... 203s Unpacking libxrender1:ppc64el (1:0.9.10-1.1) ... 203s Selecting previously unselected package libcairo2:ppc64el. 203s Preparing to unpack .../031-libcairo2_1.18.0-1_ppc64el.deb ... 203s Unpacking libcairo2:ppc64el (1.18.0-1) ... 203s Selecting previously unselected package libcairo-gobject2:ppc64el. 203s Preparing to unpack .../032-libcairo-gobject2_1.18.0-1_ppc64el.deb ... 203s Unpacking libcairo-gobject2:ppc64el (1.18.0-1) ... 203s Selecting previously unselected package gir1.2-freedesktop:ppc64el. 203s Preparing to unpack .../033-gir1.2-freedesktop_1.79.1-1_ppc64el.deb ... 203s Unpacking gir1.2-freedesktop:ppc64el (1.79.1-1) ... 203s Selecting previously unselected package gir1.2-gdkpixbuf-2.0:ppc64el. 203s Preparing to unpack .../034-gir1.2-gdkpixbuf-2.0_2.42.10+dfsg-3_ppc64el.deb ... 203s Unpacking gir1.2-gdkpixbuf-2.0:ppc64el (2.42.10+dfsg-3) ... 203s Selecting previously unselected package libgraphite2-3:ppc64el. 203s Preparing to unpack .../035-libgraphite2-3_1.3.14-2_ppc64el.deb ... 203s Unpacking libgraphite2-3:ppc64el (1.3.14-2) ... 203s Selecting previously unselected package libharfbuzz0b:ppc64el. 203s Preparing to unpack .../036-libharfbuzz0b_8.3.0-2_ppc64el.deb ... 203s Unpacking libharfbuzz0b:ppc64el (8.3.0-2) ... 203s Selecting previously unselected package libharfbuzz-gobject0:ppc64el. 203s Preparing to unpack .../037-libharfbuzz-gobject0_8.3.0-2_ppc64el.deb ... 203s Unpacking libharfbuzz-gobject0:ppc64el (8.3.0-2) ... 203s Selecting previously unselected package gir1.2-harfbuzz-0.0:ppc64el. 203s Preparing to unpack .../038-gir1.2-harfbuzz-0.0_8.3.0-2_ppc64el.deb ... 203s Unpacking gir1.2-harfbuzz-0.0:ppc64el (8.3.0-2) ... 203s Selecting previously unselected package libthai-data. 203s Preparing to unpack .../039-libthai-data_0.1.29-2_all.deb ... 203s Unpacking libthai-data (0.1.29-2) ... 203s Selecting previously unselected package libdatrie1:ppc64el. 203s Preparing to unpack .../040-libdatrie1_0.2.13-3_ppc64el.deb ... 203s Unpacking libdatrie1:ppc64el (0.2.13-3) ... 203s Selecting previously unselected package libthai0:ppc64el. 203s Preparing to unpack .../041-libthai0_0.1.29-2_ppc64el.deb ... 203s Unpacking libthai0:ppc64el (0.1.29-2) ... 203s Selecting previously unselected package libpango-1.0-0:ppc64el. 203s Preparing to unpack .../042-libpango-1.0-0_1.51.0+ds-4_ppc64el.deb ... 203s Unpacking libpango-1.0-0:ppc64el (1.51.0+ds-4) ... 203s Selecting previously unselected package libpangoft2-1.0-0:ppc64el. 203s Preparing to unpack .../043-libpangoft2-1.0-0_1.51.0+ds-4_ppc64el.deb ... 203s Unpacking libpangoft2-1.0-0:ppc64el (1.51.0+ds-4) ... 203s Selecting previously unselected package libpangocairo-1.0-0:ppc64el. 203s Preparing to unpack .../044-libpangocairo-1.0-0_1.51.0+ds-4_ppc64el.deb ... 203s Unpacking libpangocairo-1.0-0:ppc64el (1.51.0+ds-4) ... 203s Selecting previously unselected package libxft2:ppc64el. 203s Preparing to unpack .../045-libxft2_2.3.6-1_ppc64el.deb ... 203s Unpacking libxft2:ppc64el (2.3.6-1) ... 203s Selecting previously unselected package libpangoxft-1.0-0:ppc64el. 203s Preparing to unpack .../046-libpangoxft-1.0-0_1.51.0+ds-4_ppc64el.deb ... 203s Unpacking libpangoxft-1.0-0:ppc64el (1.51.0+ds-4) ... 203s Selecting previously unselected package gir1.2-pango-1.0:ppc64el. 203s Preparing to unpack .../047-gir1.2-pango-1.0_1.51.0+ds-4_ppc64el.deb ... 203s Unpacking gir1.2-pango-1.0:ppc64el (1.51.0+ds-4) ... 203s Selecting previously unselected package libxi6:ppc64el. 203s Preparing to unpack .../048-libxi6_2%3a1.8.1-1_ppc64el.deb ... 203s Unpacking libxi6:ppc64el (2:1.8.1-1) ... 203s Selecting previously unselected package libatspi2.0-0:ppc64el. 203s Preparing to unpack .../049-libatspi2.0-0_2.50.0-1_ppc64el.deb ... 203s Unpacking libatspi2.0-0:ppc64el (2.50.0-1) ... 203s Selecting previously unselected package libatk-bridge2.0-0:ppc64el. 203s Preparing to unpack .../050-libatk-bridge2.0-0_2.50.0-1_ppc64el.deb ... 203s Unpacking libatk-bridge2.0-0:ppc64el (2.50.0-1) ... 203s Selecting previously unselected package liblcms2-2:ppc64el. 203s Preparing to unpack .../051-liblcms2-2_2.14-2_ppc64el.deb ... 203s Unpacking liblcms2-2:ppc64el (2.14-2) ... 203s Selecting previously unselected package libcolord2:ppc64el. 203s Preparing to unpack .../052-libcolord2_1.4.7-1_ppc64el.deb ... 203s Unpacking libcolord2:ppc64el (1.4.7-1) ... 203s Selecting previously unselected package libavahi-common-data:ppc64el. 203s Preparing to unpack .../053-libavahi-common-data_0.8-13ubuntu2_ppc64el.deb ... 203s Unpacking libavahi-common-data:ppc64el (0.8-13ubuntu2) ... 203s Selecting previously unselected package libavahi-common3:ppc64el. 203s Preparing to unpack .../054-libavahi-common3_0.8-13ubuntu2_ppc64el.deb ... 203s Unpacking libavahi-common3:ppc64el (0.8-13ubuntu2) ... 203s Selecting previously unselected package libavahi-client3:ppc64el. 203s Preparing to unpack .../055-libavahi-client3_0.8-13ubuntu2_ppc64el.deb ... 203s Unpacking libavahi-client3:ppc64el (0.8-13ubuntu2) ... 203s Selecting previously unselected package libcups2:ppc64el. 203s Preparing to unpack .../056-libcups2_2.4.6-0ubuntu3_ppc64el.deb ... 203s Unpacking libcups2:ppc64el (2.4.6-0ubuntu3) ... 203s Selecting previously unselected package libepoxy0:ppc64el. 203s Preparing to unpack .../057-libepoxy0_1.5.10-1_ppc64el.deb ... 203s Unpacking libepoxy0:ppc64el (1.5.10-1) ... 203s Selecting previously unselected package libwayland-client0:ppc64el. 203s Preparing to unpack .../058-libwayland-client0_1.22.0-2.1_ppc64el.deb ... 203s Unpacking libwayland-client0:ppc64el (1.22.0-2.1) ... 203s Selecting previously unselected package libwayland-cursor0:ppc64el. 203s Preparing to unpack .../059-libwayland-cursor0_1.22.0-2.1_ppc64el.deb ... 203s Unpacking libwayland-cursor0:ppc64el (1.22.0-2.1) ... 203s Selecting previously unselected package libwayland-egl1:ppc64el. 203s Preparing to unpack .../060-libwayland-egl1_1.22.0-2.1_ppc64el.deb ... 203s Unpacking libwayland-egl1:ppc64el (1.22.0-2.1) ... 203s Selecting previously unselected package libxcomposite1:ppc64el. 203s Preparing to unpack .../061-libxcomposite1_1%3a0.4.5-1build2_ppc64el.deb ... 203s Unpacking libxcomposite1:ppc64el (1:0.4.5-1build2) ... 203s Selecting previously unselected package libxfixes3:ppc64el. 203s Preparing to unpack .../062-libxfixes3_1%3a6.0.0-2_ppc64el.deb ... 203s Unpacking libxfixes3:ppc64el (1:6.0.0-2) ... 203s Selecting previously unselected package libxcursor1:ppc64el. 203s Preparing to unpack .../063-libxcursor1_1%3a1.2.1-1_ppc64el.deb ... 203s Unpacking libxcursor1:ppc64el (1:1.2.1-1) ... 203s Selecting previously unselected package libxdamage1:ppc64el. 203s Preparing to unpack .../064-libxdamage1_1%3a1.1.6-1_ppc64el.deb ... 203s Unpacking libxdamage1:ppc64el (1:1.1.6-1) ... 204s Selecting previously unselected package libxinerama1:ppc64el. 204s Preparing to unpack .../065-libxinerama1_2%3a1.1.4-3_ppc64el.deb ... 204s Unpacking libxinerama1:ppc64el (2:1.1.4-3) ... 204s Selecting previously unselected package libxrandr2:ppc64el. 204s Preparing to unpack .../066-libxrandr2_2%3a1.5.2-2_ppc64el.deb ... 204s Unpacking libxrandr2:ppc64el (2:1.5.2-2) ... 204s Selecting previously unselected package libgtk-3-common. 204s Preparing to unpack .../067-libgtk-3-common_3.24.40-2ubuntu1_all.deb ... 204s Unpacking libgtk-3-common (3.24.40-2ubuntu1) ... 204s Selecting previously unselected package libgtk-3-0:ppc64el. 204s Preparing to unpack .../068-libgtk-3-0_3.24.40-2ubuntu1_ppc64el.deb ... 204s Unpacking libgtk-3-0:ppc64el (3.24.40-2ubuntu1) ... 204s Selecting previously unselected package gir1.2-gtk-3.0:ppc64el. 204s Preparing to unpack .../069-gir1.2-gtk-3.0_3.24.40-2ubuntu1_ppc64el.deb ... 204s Unpacking gir1.2-gtk-3.0:ppc64el (3.24.40-2ubuntu1) ... 204s Selecting previously unselected package libann0. 204s Preparing to unpack .../070-libann0_1.1.2+doc-9_ppc64el.deb ... 204s Unpacking libann0 (1.1.2+doc-9) ... 204s Selecting previously unselected package libcdt5:ppc64el. 204s Preparing to unpack .../071-libcdt5_2.42.2-8build1_ppc64el.deb ... 204s Unpacking libcdt5:ppc64el (2.42.2-8build1) ... 204s Selecting previously unselected package libcgraph6:ppc64el. 204s Preparing to unpack .../072-libcgraph6_2.42.2-8build1_ppc64el.deb ... 204s Unpacking libcgraph6:ppc64el (2.42.2-8build1) ... 204s Selecting previously unselected package libxpm4:ppc64el. 204s Preparing to unpack .../073-libxpm4_1%3a3.5.17-1_ppc64el.deb ... 204s Unpacking libxpm4:ppc64el (1:3.5.17-1) ... 204s Selecting previously unselected package libgd3:ppc64el. 204s Preparing to unpack .../074-libgd3_2.3.3-9ubuntu1_ppc64el.deb ... 204s Unpacking libgd3:ppc64el (2.3.3-9ubuntu1) ... 204s Selecting previously unselected package libgts-0.7-5:ppc64el. 204s Preparing to unpack .../075-libgts-0.7-5_0.7.6+darcs121130-5_ppc64el.deb ... 204s Unpacking libgts-0.7-5:ppc64el (0.7.6+darcs121130-5) ... 204s Selecting previously unselected package libltdl7:ppc64el. 204s Preparing to unpack .../076-libltdl7_2.4.7-7_ppc64el.deb ... 204s Unpacking libltdl7:ppc64el (2.4.7-7) ... 204s Selecting previously unselected package libpathplan4:ppc64el. 204s Preparing to unpack .../077-libpathplan4_2.42.2-8build1_ppc64el.deb ... 204s Unpacking libpathplan4:ppc64el (2.42.2-8build1) ... 204s Selecting previously unselected package libgvc6. 204s Preparing to unpack .../078-libgvc6_2.42.2-8build1_ppc64el.deb ... 204s Unpacking libgvc6 (2.42.2-8build1) ... 204s Selecting previously unselected package libgvpr2:ppc64el. 204s Preparing to unpack .../079-libgvpr2_2.42.2-8build1_ppc64el.deb ... 204s Unpacking libgvpr2:ppc64el (2.42.2-8build1) ... 204s Selecting previously unselected package liblab-gamut1:ppc64el. 204s Preparing to unpack .../080-liblab-gamut1_2.42.2-8build1_ppc64el.deb ... 204s Unpacking liblab-gamut1:ppc64el (2.42.2-8build1) ... 204s Selecting previously unselected package x11-common. 204s Preparing to unpack .../081-x11-common_1%3a7.7+23ubuntu2_all.deb ... 204s Unpacking x11-common (1:7.7+23ubuntu2) ... 204s Selecting previously unselected package libice6:ppc64el. 204s Preparing to unpack .../082-libice6_2%3a1.0.10-1build2_ppc64el.deb ... 204s Unpacking libice6:ppc64el (2:1.0.10-1build2) ... 204s Selecting previously unselected package libsm6:ppc64el. 204s Preparing to unpack .../083-libsm6_2%3a1.2.3-1build2_ppc64el.deb ... 204s Unpacking libsm6:ppc64el (2:1.2.3-1build2) ... 204s Selecting previously unselected package libxt6:ppc64el. 204s Preparing to unpack .../084-libxt6_1%3a1.2.1-1.1_ppc64el.deb ... 204s Unpacking libxt6:ppc64el (1:1.2.1-1.1) ... 204s Selecting previously unselected package libxmu6:ppc64el. 204s Preparing to unpack .../085-libxmu6_2%3a1.1.3-3_ppc64el.deb ... 204s Unpacking libxmu6:ppc64el (2:1.1.3-3) ... 204s Selecting previously unselected package libxaw7:ppc64el. 204s Preparing to unpack .../086-libxaw7_2%3a1.0.14-1_ppc64el.deb ... 204s Unpacking libxaw7:ppc64el (2:1.0.14-1) ... 204s Selecting previously unselected package graphviz. 204s Preparing to unpack .../087-graphviz_2.42.2-8build1_ppc64el.deb ... 204s Unpacking graphviz (2.42.2-8build1) ... 204s Selecting previously unselected package libblas3:ppc64el. 204s Preparing to unpack .../088-libblas3_3.12.0-3_ppc64el.deb ... 204s Unpacking libblas3:ppc64el (3.12.0-3) ... 204s Selecting previously unselected package libc-dev-bin. 204s Preparing to unpack .../089-libc-dev-bin_2.39-0ubuntu6_ppc64el.deb ... 204s Unpacking libc-dev-bin (2.39-0ubuntu6) ... 204s Selecting previously unselected package linux-libc-dev:ppc64el. 204s Preparing to unpack .../090-linux-libc-dev_6.8.0-11.11_ppc64el.deb ... 204s Unpacking linux-libc-dev:ppc64el (6.8.0-11.11) ... 204s Selecting previously unselected package libcrypt-dev:ppc64el. 204s Preparing to unpack .../091-libcrypt-dev_1%3a4.4.36-4_ppc64el.deb ... 204s Unpacking libcrypt-dev:ppc64el (1:4.4.36-4) ... 204s Selecting previously unselected package rpcsvc-proto. 204s Preparing to unpack .../092-rpcsvc-proto_1.4.2-0ubuntu6_ppc64el.deb ... 204s Unpacking rpcsvc-proto (1.4.2-0ubuntu6) ... 204s Selecting previously unselected package libc6-dev:ppc64el. 204s Preparing to unpack .../093-libc6-dev_2.39-0ubuntu6_ppc64el.deb ... 204s Unpacking libc6-dev:ppc64el (2.39-0ubuntu6) ... 205s Selecting previously unselected package libgfortran5:ppc64el. 205s Preparing to unpack .../094-libgfortran5_14-20240303-1ubuntu1_ppc64el.deb ... 205s Unpacking libgfortran5:ppc64el (14-20240303-1ubuntu1) ... 205s Selecting previously unselected package liblapack3:ppc64el. 205s Preparing to unpack .../095-liblapack3_3.12.0-3_ppc64el.deb ... 205s Unpacking liblapack3:ppc64el (3.12.0-3) ... 205s Selecting previously unselected package libncurses-dev:ppc64el. 205s Preparing to unpack .../096-libncurses-dev_6.4+20240113-1ubuntu1_ppc64el.deb ... 205s Unpacking libncurses-dev:ppc64el (6.4+20240113-1ubuntu1) ... 205s Selecting previously unselected package libreadline-dev:ppc64el. 205s Preparing to unpack .../097-libreadline-dev_8.2-3_ppc64el.deb ... 205s Unpacking libreadline-dev:ppc64el (8.2-3) ... 205s Selecting previously unselected package libtcl8.6:ppc64el. 205s Preparing to unpack .../098-libtcl8.6_8.6.13+dfsg-2_ppc64el.deb ... 205s Unpacking libtcl8.6:ppc64el (8.6.13+dfsg-2) ... 205s Selecting previously unselected package python3-cairo. 205s Preparing to unpack .../099-python3-cairo_1.25.1-2_ppc64el.deb ... 205s Unpacking python3-cairo (1.25.1-2) ... 205s Selecting previously unselected package python3-colorama. 205s Preparing to unpack .../100-python3-colorama_0.4.6-4_all.deb ... 205s Unpacking python3-colorama (0.4.6-4) ... 205s Selecting previously unselected package python3-click. 205s Preparing to unpack .../101-python3-click_8.1.6-1_all.deb ... 205s Unpacking python3-click (8.1.6-1) ... 205s Selecting previously unselected package python3-gi-cairo. 205s Preparing to unpack .../102-python3-gi-cairo_3.47.0-3_ppc64el.deb ... 205s Unpacking python3-gi-cairo (3.47.0-3) ... 205s Selecting previously unselected package python3-numpy. 205s Preparing to unpack .../103-python3-numpy_1%3a1.24.2-2_ppc64el.deb ... 205s Unpacking python3-numpy (1:1.24.2-2) ... 205s Selecting previously unselected package tcl8.6. 205s Preparing to unpack .../104-tcl8.6_8.6.13+dfsg-2_ppc64el.deb ... 205s Unpacking tcl8.6 (8.6.13+dfsg-2) ... 205s Selecting previously unselected package tcl. 205s Preparing to unpack .../105-tcl_8.6.13_ppc64el.deb ... 205s Unpacking tcl (8.6.13) ... 205s Selecting previously unselected package zlib1g-dev:ppc64el. 205s Preparing to unpack .../106-zlib1g-dev_1%3a1.3.dfsg-3ubuntu1_ppc64el.deb ... 205s Unpacking zlib1g-dev:ppc64el (1:1.3.dfsg-3ubuntu1) ... 205s Selecting previously unselected package tcl8.6-dev:ppc64el. 205s Preparing to unpack .../107-tcl8.6-dev_8.6.13+dfsg-2_ppc64el.deb ... 205s Unpacking tcl8.6-dev:ppc64el (8.6.13+dfsg-2) ... 205s Selecting previously unselected package tcl-dev:ppc64el. 205s Preparing to unpack .../108-tcl-dev_8.6.13_ppc64el.deb ... 205s Unpacking tcl-dev:ppc64el (8.6.13) ... 205s Selecting previously unselected package xdot. 205s Preparing to unpack .../109-xdot_1.3-1_all.deb ... 205s Unpacking xdot (1.3-1) ... 205s Selecting previously unselected package yosys. 205s Preparing to unpack .../110-yosys_0.23-6_ppc64el.deb ... 205s Unpacking yosys (0.23-6) ... 205s Selecting previously unselected package libffi-dev:ppc64el. 205s Preparing to unpack .../111-libffi-dev_3.4.6-1_ppc64el.deb ... 205s Unpacking libffi-dev:ppc64el (3.4.6-1) ... 205s Selecting previously unselected package yosys-dev. 205s Preparing to unpack .../112-yosys-dev_0.23-6_ppc64el.deb ... 205s Unpacking yosys-dev (0.23-6) ... 205s Selecting previously unselected package yosys-doc. 206s Preparing to unpack .../113-yosys-doc_0.23-6_all.deb ... 206s Unpacking yosys-doc (0.23-6) ... 206s Selecting previously unselected package autopkgtest-satdep. 206s Preparing to unpack .../114-1-autopkgtest-satdep.deb ... 206s Unpacking autopkgtest-satdep (0) ... 206s Setting up libgraphite2-3:ppc64el (1.3.14-2) ... 206s Setting up liblcms2-2:ppc64el (2.14-2) ... 206s Setting up libpixman-1-0:ppc64el (0.42.2-1) ... 206s Setting up libsharpyuv0:ppc64el (1.3.2-0.4) ... 206s Setting up libxdamage1:ppc64el (1:1.1.6-1) ... 206s Setting up liblerc4:ppc64el (4.0.0+ds-4ubuntu1) ... 206s Setting up libxpm4:ppc64el (1:3.5.17-1) ... 206s Setting up hicolor-icon-theme (0.17-2) ... 206s Setting up libxi6:ppc64el (2:1.8.1-1) ... 206s Setting up libxrender1:ppc64el (1:0.9.10-1.1) ... 206s Setting up libdatrie1:ppc64el (0.2.13-3) ... 206s Setting up python3-colorama (0.4.6-4) ... 206s Setting up libxcb-render0:ppc64el (1.15-1) ... 206s Setting up liblab-gamut1:ppc64el (2.42.2-8build1) ... 206s Setting up libgdk-pixbuf2.0-common (2.42.10+dfsg-3) ... 206s Setting up x11-common (1:7.7+23ubuntu2) ... 206s Setting up libdeflate0:ppc64el (1.19-1) ... 206s Setting up linux-libc-dev:ppc64el (6.8.0-11.11) ... 206s Setting up yosys-doc (0.23-6) ... 206s Setting up libxcb-shm0:ppc64el (1.15-1) ... 206s Setting up libatspi2.0-0:ppc64el (2.50.0-1) ... 206s Setting up python3-click (8.1.6-1) ... 206s Setting up libffi-dev:ppc64el (3.4.6-1) ... 206s Setting up libjbig0:ppc64el (2.1-6.1ubuntu1) ... 206s Setting up libcolord2:ppc64el (1.4.7-1) ... 206s Setting up berkeley-abc (1.01+20230625git01b1bd1+dfsg-3) ... 206s Setting up libdconf1:ppc64el (0.40.0-4) ... 206s Setting up libgts-0.7-5:ppc64el (0.7.6+darcs121130-5) ... 206s Setting up libblas3:ppc64el (3.12.0-3) ... 206s update-alternatives: using /usr/lib/powerpc64le-linux-gnu/blas/libblas.so.3 to provide /usr/lib/powerpc64le-linux-gnu/libblas.so.3 (libblas.so.3-powerpc64le-linux-gnu) in auto mode 206s Setting up rpcsvc-proto (1.4.2-0ubuntu6) ... 206s Setting up libepoxy0:ppc64el (1.5.10-1) ... 206s Setting up libxfixes3:ppc64el (1:6.0.0-2) ... 206s Setting up libpathplan4:ppc64el (2.42.2-8build1) ... 206s Setting up libavahi-common-data:ppc64el (0.8-13ubuntu2) ... 206s Setting up libann0 (1.1.2+doc-9) ... 206s Setting up libxinerama1:ppc64el (2:1.1.4-3) ... 206s Setting up fonts-dejavu-mono (2.37-8) ... 206s Setting up libxrandr2:ppc64el (2:1.5.2-2) ... 206s Setting up libtcl8.6:ppc64el (8.6.13+dfsg-2) ... 206s Setting up fonts-dejavu-core (2.37-8) ... 206s Setting up libjpeg-turbo8:ppc64el (2.1.5-2ubuntu1) ... 206s Setting up libltdl7:ppc64el (2.4.7-7) ... 206s Setting up libgfortran5:ppc64el (14-20240303-1ubuntu1) ... 206s Setting up libwebp7:ppc64el (1.3.2-0.4) ... 206s Setting up at-spi2-common (2.50.0-1) ... 206s Setting up libcrypt-dev:ppc64el (1:4.4.36-4) ... 206s Setting up libharfbuzz0b:ppc64el (8.3.0-2) ... 206s Setting up libthai-data (0.1.29-2) ... 206s Setting up libcdt5:ppc64el (2.42.2-8build1) ... 206s Setting up libatk1.0-0:ppc64el (2.50.0-1) ... 206s Setting up libcgraph6:ppc64el (2.42.2-8build1) ... 206s Setting up libwayland-egl1:ppc64el (1.22.0-2.1) ... 206s Setting up libc-dev-bin (2.39-0ubuntu6) ... 206s Setting up libxcomposite1:ppc64el (1:0.4.5-1build2) ... 206s Setting up libwayland-client0:ppc64el (1.22.0-2.1) ... 206s Setting up libjpeg8:ppc64el (8c-2ubuntu11) ... 206s Setting up libice6:ppc64el (2:1.0.10-1build2) ... 206s Setting up tcl8.6 (8.6.13+dfsg-2) ... 206s Setting up liblapack3:ppc64el (3.12.0-3) ... 206s update-alternatives: using /usr/lib/powerpc64le-linux-gnu/lapack/liblapack.so.3 to provide /usr/lib/powerpc64le-linux-gnu/liblapack.so.3 (liblapack.so.3-powerpc64le-linux-gnu) in auto mode 206s Setting up gir1.2-atk-1.0:ppc64el (2.50.0-1) ... 206s Setting up fontconfig-config (2.15.0-1ubuntu1) ... 207s Setting up libxcursor1:ppc64el (1:1.2.1-1) ... 207s Setting up libavahi-common3:ppc64el (0.8-13ubuntu2) ... 207s Setting up dconf-service (0.40.0-4) ... 207s Setting up libharfbuzz-gobject0:ppc64el (8.3.0-2) ... 207s Setting up libatk-bridge2.0-0:ppc64el (2.50.0-1) ... 207s Setting up libthai0:ppc64el (0.1.29-2) ... 207s Setting up python3-numpy (1:1.24.2-2) ... 209s Setting up libgvpr2:ppc64el (2.42.2-8build1) ... 209s Setting up libtiff6:ppc64el (4.5.1+git230720-3ubuntu1) ... 209s Setting up libwayland-cursor0:ppc64el (1.22.0-2.1) ... 209s Setting up tcl (8.6.13) ... 209s Setting up libc6-dev:ppc64el (2.39-0ubuntu6) ... 209s Setting up libgdk-pixbuf-2.0-0:ppc64el (2.42.10+dfsg-3) ... 209s Setting up libfontconfig1:ppc64el (2.15.0-1ubuntu1) ... 209s Setting up libsm6:ppc64el (2:1.2.3-1build2) ... 209s Setting up libavahi-client3:ppc64el (0.8-13ubuntu2) ... 209s Setting up gtk-update-icon-cache (3.24.40-2ubuntu1) ... 209s Setting up fontconfig (2.15.0-1ubuntu1) ... 211s Regenerating fonts cache... done. 211s Setting up libxft2:ppc64el (2.3.6-1) ... 211s Setting up libncurses-dev:ppc64el (6.4+20240113-1ubuntu1) ... 211s Setting up dconf-gsettings-backend:ppc64el (0.40.0-4) ... 211s Setting up gir1.2-gdkpixbuf-2.0:ppc64el (2.42.10+dfsg-3) ... 211s Setting up libpango-1.0-0:ppc64el (1.51.0+ds-4) ... 211s Setting up libreadline-dev:ppc64el (8.2-3) ... 211s Setting up libcairo2:ppc64el (1.18.0-1) ... 211s Setting up libgd3:ppc64el (2.3.3-9ubuntu1) ... 211s Setting up libxt6:ppc64el (1:1.2.1-1.1) ... 211s Setting up libcups2:ppc64el (2.4.6-0ubuntu3) ... 211s Setting up zlib1g-dev:ppc64el (1:1.3.dfsg-3ubuntu1) ... 211s Setting up libcairo-gobject2:ppc64el (1.18.0-1) ... 211s Setting up libpangoft2-1.0-0:ppc64el (1.51.0+ds-4) ... 211s Setting up libgtk-3-common (3.24.40-2ubuntu1) ... 211s Setting up libpangocairo-1.0-0:ppc64el (1.51.0+ds-4) ... 211s Setting up libxmu6:ppc64el (2:1.1.3-3) ... 211s Setting up gir1.2-freedesktop:ppc64el (1.79.1-1) ... 211s Setting up python3-cairo (1.25.1-2) ... 211s Setting up libpangoxft-1.0-0:ppc64el (1.51.0+ds-4) ... 211s Setting up tcl8.6-dev:ppc64el (8.6.13+dfsg-2) ... 211s Setting up libxaw7:ppc64el (2:1.0.14-1) ... 211s Setting up gir1.2-harfbuzz-0.0:ppc64el (8.3.0-2) ... 211s Setting up gir1.2-pango-1.0:ppc64el (1.51.0+ds-4) ... 211s Setting up libgvc6 (2.42.2-8build1) ... 211s Setting up tcl-dev:ppc64el (8.6.13) ... 211s Setting up python3-gi-cairo (3.47.0-3) ... 211s Setting up yosys-dev (0.23-6) ... 211s Setting up graphviz (2.42.2-8build1) ... 211s Setting up adwaita-icon-theme (46~rc-1) ... 211s update-alternatives: using /usr/share/icons/Adwaita/cursor.theme to provide /usr/share/icons/default/index.theme (x-cursor-theme) in auto mode 211s Setting up humanity-icon-theme (0.6.16) ... 211s Setting up ubuntu-mono (24.04-0ubuntu1) ... 211s Processing triggers for man-db (2.12.0-3) ... 212s Processing triggers for install-info (7.1-3) ... 212s Processing triggers for libglib2.0-0:ppc64el (2.79.2-1~ubuntu1) ... 212s Setting up libgtk-3-0:ppc64el (3.24.40-2ubuntu1) ... 212s Processing triggers for libc-bin (2.39-0ubuntu6) ... 212s Setting up gir1.2-gtk-3.0:ppc64el (3.24.40-2ubuntu1) ... 212s Setting up xdot (1.3-1) ... 212s Setting up yosys (0.23-6) ... 212s /usr/share/yosys/smtio.py:771: SyntaxWarning: invalid escape sequence '\|' 212s s = "/-\|" 212s /usr/share/yosys/smtio.py:1174: SyntaxWarning: invalid escape sequence '\[' 212s if re.match("[\[\]]", name) and name[0] != "\\": 212s Setting up autopkgtest-satdep (0) ... 217s (Reading database ... 86686 files and directories currently installed.) 217s Removing autopkgtest-satdep (0) ... 217s autopkgtest [16:48:42]: test ice: [----------------------- 217s 217s /----------------------------------------------------------------------------\ 217s | | 217s | yosys -- Yosys Open SYnthesis Suite | 217s | | 217s | Copyright (C) 2012 - 2020 Claire Xenia Wolf | 217s | | 217s | Permission to use, copy, modify, and/or distribute this software for any | 217s | purpose with or without fee is hereby granted, provided that the above | 217s | copyright notice and this permission notice appear in all copies. | 217s | | 217s | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | 217s | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | 217s | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | 217s | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | 217s | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | 217s | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | 217s | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | 217s | | 217s \----------------------------------------------------------------------------/ 217s 217s Yosys 0.23 (git sha1 7ce5011c24b) 217s 217s 217s -- Running command `read_verilog debian/tests/design_ice.v; synth_ice40 -blif /tmp/autopkgtest.suiVSu/autopkgtest_tmp/design_ice.blif' -- 217s 217s 1. Executing Verilog-2005 frontend: debian/tests/design_ice.v 217s Parsing Verilog input from `debian/tests/design_ice.v' to AST representation. 217s Generating RTLIL representation for module `\design_ice'. 217s Successfully finished Verilog frontend. 217s 217s 2. Executing SYNTH_ICE40 pass. 217s 217s 2.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/cells_sim.v 217s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/cells_sim.v' to AST representation. 217s Generating RTLIL representation for module `\SB_IO'. 217s Generating RTLIL representation for module `\SB_GB_IO'. 217s Generating RTLIL representation for module `\SB_GB'. 217s Generating RTLIL representation for module `\SB_LUT4'. 217s Generating RTLIL representation for module `\SB_CARRY'. 217s Generating RTLIL representation for module `\SB_DFF'. 217s Generating RTLIL representation for module `\SB_DFFE'. 217s Generating RTLIL representation for module `\SB_DFFSR'. 217s Generating RTLIL representation for module `\SB_DFFR'. 217s Generating RTLIL representation for module `\SB_DFFSS'. 217s Generating RTLIL representation for module `\SB_DFFS'. 217s Generating RTLIL representation for module `\SB_DFFESR'. 217s Generating RTLIL representation for module `\SB_DFFER'. 217s Generating RTLIL representation for module `\SB_DFFESS'. 217s Generating RTLIL representation for module `\SB_DFFES'. 217s Generating RTLIL representation for module `\SB_DFFN'. 217s Generating RTLIL representation for module `\SB_DFFNE'. 217s Generating RTLIL representation for module `\SB_DFFNSR'. 217s Generating RTLIL representation for module `\SB_DFFNR'. 217s Generating RTLIL representation for module `\SB_DFFNSS'. 217s Generating RTLIL representation for module `\SB_DFFNS'. 217s Generating RTLIL representation for module `\SB_DFFNESR'. 217s Generating RTLIL representation for module `\SB_DFFNER'. 217s Generating RTLIL representation for module `\SB_DFFNESS'. 217s Generating RTLIL representation for module `\SB_DFFNES'. 217s Generating RTLIL representation for module `\SB_RAM40_4K'. 217s Generating RTLIL representation for module `\SB_RAM40_4KNR'. 217s Generating RTLIL representation for module `\SB_RAM40_4KNW'. 217s Generating RTLIL representation for module `\SB_RAM40_4KNRNW'. 217s Generating RTLIL representation for module `\ICESTORM_LC'. 217s Generating RTLIL representation for module `\SB_PLL40_CORE'. 217s Generating RTLIL representation for module `\SB_PLL40_PAD'. 217s Generating RTLIL representation for module `\SB_PLL40_2_PAD'. 217s Generating RTLIL representation for module `\SB_PLL40_2F_CORE'. 217s Generating RTLIL representation for module `\SB_PLL40_2F_PAD'. 217s Generating RTLIL representation for module `\SB_WARMBOOT'. 217s Generating RTLIL representation for module `\SB_SPRAM256KA'. 217s Generating RTLIL representation for module `\SB_HFOSC'. 217s Generating RTLIL representation for module `\SB_LFOSC'. 217s Generating RTLIL representation for module `\SB_RGBA_DRV'. 217s Generating RTLIL representation for module `\SB_LED_DRV_CUR'. 217s Generating RTLIL representation for module `\SB_RGB_DRV'. 217s Generating RTLIL representation for module `\SB_I2C'. 217s Generating RTLIL representation for module `\SB_SPI'. 217s Generating RTLIL representation for module `\SB_LEDDA_IP'. 217s Generating RTLIL representation for module `\SB_FILTER_50NS'. 217s Generating RTLIL representation for module `\SB_IO_I3C'. 217s Generating RTLIL representation for module `\SB_IO_OD'. 217s Generating RTLIL representation for module `\SB_MAC16'. 217s Generating RTLIL representation for module `\ICESTORM_RAM'. 217s Successfully finished Verilog frontend. 217s 217s 2.2. Executing HIERARCHY pass (managing design hierarchy). 217s 217s 2.2.1. Finding top of design hierarchy.. 217s root of 0 design levels: design_ice 217s Automatically selected design_ice as design top module. 217s 217s 2.2.2. Analyzing design hierarchy.. 217s Top module: \design_ice 217s 217s 2.2.3. Analyzing design hierarchy.. 217s Top module: \design_ice 217s Removed 0 unused modules. 217s 217s 2.3. Executing PROC pass (convert processes to netlists). 217s 217s 2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). 217s Cleaned up 0 empty switches. 217s 217s 2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243 in module SB_DFFNES. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236 in module SB_DFFNESS. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232 in module SB_DFFNER. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225 in module SB_DFFNESR. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222 in module SB_DFFNS. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219 in module SB_DFFNSS. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216 in module SB_DFFNR. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213 in module SB_DFFNSR. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205 in module SB_DFFES. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198 in module SB_DFFESS. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194 in module SB_DFFER. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187 in module SB_DFFESR. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184 in module SB_DFFS. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181 in module SB_DFFSS. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178 in module SB_DFFR. 217s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175 in module SB_DFFSR. 217s Marked 1 switch rules as full_case in process $proc$debian/tests/design_ice.v:6$1 in module design_ice. 217s Removed a total of 0 dead cases. 217s 217s 2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). 217s Removed 8 redundant assignments. 217s Promoted 23 assignments to connections. 217s 217s 2.3.4. Executing PROC_INIT pass (extract init attributes). 217s Found init rule in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$246'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$242'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$235'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$231'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$224'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$221'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$218'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$215'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$212'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$210'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$208'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$204'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$197'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$193'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$186'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$183'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$180'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$177'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$174'. 217s Set init value: \Q = 1'0 217s Found init rule in `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$172'. 217s Set init value: \Q = 1'0 217s Found init rule in `\design_ice.$proc$debian/tests/design_ice.v:3$2'. 217s Set init value: \ready = 1'0 217s 217s 2.3.5. Executing PROC_ARST pass (detect async resets in processes). 217s Found async reset \S in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 217s Found async reset \R in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 217s Found async reset \S in `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 217s Found async reset \R in `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 217s Found async reset \S in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 217s Found async reset \R in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 217s Found async reset \S in `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 217s Found async reset \R in `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 217s 217s 2.3.6. Executing PROC_ROM pass (convert switches to ROMs). 217s Converted 0 switches. 217s 217s 217s 2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 217s Creating decoders for process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$246'. 217s Creating decoders for process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$242'. 217s Creating decoders for process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$235'. 217s Creating decoders for process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$231'. 217s Creating decoders for process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$224'. 217s Creating decoders for process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$221'. 217s Creating decoders for process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$218'. 217s Creating decoders for process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$215'. 217s Creating decoders for process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$212'. 217s Creating decoders for process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$210'. 217s Creating decoders for process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$209'. 217s Creating decoders for process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$208'. 217s Creating decoders for process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$204'. 217s Creating decoders for process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$197'. 217s Creating decoders for process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$193'. 217s Creating decoders for process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$186'. 217s Creating decoders for process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$183'. 217s Creating decoders for process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$180'. 217s Creating decoders for process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$177'. 217s Creating decoders for process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$174'. 217s Creating decoders for process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 217s 1/1: $0\Q[0:0] 217s Creating decoders for process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$172'. 217s Creating decoders for process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$171'. 217s Creating decoders for process `\design_ice.$proc$debian/tests/design_ice.v:3$2'. 217s Creating decoders for process `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 217s 1/2: $0\value[0:0] 217s 2/2: $0\ready[0:0] 217s 217s 2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 217s 217s 2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 217s Creating register for signal `\SB_DFFNES.\Q' using process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 217s created $adff cell `$procdff$433' with negative edge clock and positive level reset. 217s Creating register for signal `\SB_DFFNESS.\Q' using process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 217s created $dff cell `$procdff$434' with negative edge clock. 217s Creating register for signal `\SB_DFFNER.\Q' using process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 217s created $adff cell `$procdff$435' with negative edge clock and positive level reset. 217s Creating register for signal `\SB_DFFNESR.\Q' using process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 217s created $dff cell `$procdff$436' with negative edge clock. 217s Creating register for signal `\SB_DFFNS.\Q' using process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 217s created $adff cell `$procdff$437' with negative edge clock and positive level reset. 217s Creating register for signal `\SB_DFFNSS.\Q' using process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 217s created $dff cell `$procdff$438' with negative edge clock. 217s Creating register for signal `\SB_DFFNR.\Q' using process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 217s created $adff cell `$procdff$439' with negative edge clock and positive level reset. 217s Creating register for signal `\SB_DFFNSR.\Q' using process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 217s created $dff cell `$procdff$440' with negative edge clock. 217s Creating register for signal `\SB_DFFNE.\Q' using process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 217s created $dff cell `$procdff$441' with negative edge clock. 217s Creating register for signal `\SB_DFFN.\Q' using process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$209'. 217s created $dff cell `$procdff$442' with negative edge clock. 217s Creating register for signal `\SB_DFFES.\Q' using process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 217s created $adff cell `$procdff$443' with positive edge clock and positive level reset. 217s Creating register for signal `\SB_DFFESS.\Q' using process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 217s created $dff cell `$procdff$444' with positive edge clock. 217s Creating register for signal `\SB_DFFER.\Q' using process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 217s created $adff cell `$procdff$445' with positive edge clock and positive level reset. 217s Creating register for signal `\SB_DFFESR.\Q' using process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 217s created $dff cell `$procdff$446' with positive edge clock. 217s Creating register for signal `\SB_DFFS.\Q' using process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 217s created $adff cell `$procdff$447' with positive edge clock and positive level reset. 217s Creating register for signal `\SB_DFFSS.\Q' using process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 217s created $dff cell `$procdff$448' with positive edge clock. 217s Creating register for signal `\SB_DFFR.\Q' using process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 217s created $adff cell `$procdff$449' with positive edge clock and positive level reset. 217s Creating register for signal `\SB_DFFSR.\Q' using process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 217s created $dff cell `$procdff$450' with positive edge clock. 217s Creating register for signal `\SB_DFFE.\Q' using process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 217s created $dff cell `$procdff$451' with positive edge clock. 217s Creating register for signal `\SB_DFF.\Q' using process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$171'. 217s created $dff cell `$procdff$452' with positive edge clock. 217s Creating register for signal `\design_ice.\ready' using process `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 217s created $dff cell `$procdff$453' with positive edge clock. 217s Creating register for signal `\design_ice.\value' using process `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 217s created $dff cell `$procdff$454' with positive edge clock. 217s 217s 2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 217s 217s 2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). 217s Removing empty process `SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$246'. 217s Found and cleaned up 1 empty switch in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 217s Removing empty process `SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 217s Removing empty process `SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$242'. 217s Found and cleaned up 2 empty switches in `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 217s Removing empty process `SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 217s Removing empty process `SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$235'. 217s Found and cleaned up 1 empty switch in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 217s Removing empty process `SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 217s Removing empty process `SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$231'. 217s Found and cleaned up 2 empty switches in `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 217s Removing empty process `SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 217s Removing empty process `SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$224'. 217s Removing empty process `SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 217s Removing empty process `SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$221'. 217s Found and cleaned up 1 empty switch in `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 217s Removing empty process `SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 217s Removing empty process `SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$218'. 217s Removing empty process `SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 217s Removing empty process `SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$215'. 217s Found and cleaned up 1 empty switch in `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 217s Removing empty process `SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 217s Removing empty process `SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$212'. 217s Found and cleaned up 1 empty switch in `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 217s Removing empty process `SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 217s Removing empty process `SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$210'. 217s Removing empty process `SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$209'. 217s Removing empty process `SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$208'. 217s Found and cleaned up 1 empty switch in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 217s Removing empty process `SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 217s Removing empty process `SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$204'. 217s Found and cleaned up 2 empty switches in `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 217s Removing empty process `SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 217s Removing empty process `SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$197'. 217s Found and cleaned up 1 empty switch in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 217s Removing empty process `SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 217s Removing empty process `SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$193'. 217s Found and cleaned up 2 empty switches in `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 217s Removing empty process `SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 217s Removing empty process `SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$186'. 217s Removing empty process `SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 217s Removing empty process `SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$183'. 217s Found and cleaned up 1 empty switch in `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 217s Removing empty process `SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 217s Removing empty process `SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$180'. 217s Removing empty process `SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 217s Removing empty process `SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$177'. 217s Found and cleaned up 1 empty switch in `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 217s Removing empty process `SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 217s Removing empty process `SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$174'. 217s Found and cleaned up 1 empty switch in `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 217s Removing empty process `SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 217s Removing empty process `SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$172'. 217s Removing empty process `SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$171'. 217s Removing empty process `design_ice.$proc$debian/tests/design_ice.v:3$2'. 217s Found and cleaned up 1 empty switch in `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 217s Removing empty process `design_ice.$proc$debian/tests/design_ice.v:6$1'. 217s Cleaned up 19 empty switches. 217s 217s 2.3.12. Executing OPT_EXPR pass (perform const folding). 217s Optimizing module design_ice. 217s 217s 2.4. Executing FLATTEN pass (flatten design). 217s 217s 2.5. Executing TRIBUF pass. 218s 218s 2.6. Executing DEMINOUT pass (demote inout ports to input or output). 218s 218s 2.7. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.8. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s Removed 0 unused cells and 5 unused wires. 218s 218s 218s 2.9. Executing CHECK pass (checking for obvious problems). 218s Checking module design_ice... 218s Found and reported 0 problems. 218s 218s 2.10. Executing OPT pass (performing simple optimizations). 218s 218s 2.10.1. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.10.2. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.10.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 218s Running muxtree optimizer on module \design_ice.. 218s Creating internal representation of mux trees. 218s Evaluating internal representation of mux trees. 218s Replacing known input bits on port B of cell $procmux$431: \ready -> 1'1 218s Analyzing evaluation results. 218s Removed 0 multiplexer ports. 218s 218s 218s 2.10.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 218s Optimizing cells in module \design_ice. 218s Optimizing cells in module \design_ice. 218s Performed a total of 1 changes. 218s 218s 2.10.5. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.10.6. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.10.7. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s Removed 0 unused cells and 1 unused wires. 218s 218s 218s 2.10.8. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.10.9. Rerunning OPT passes. (Maybe there is more to do..) 218s 218s 2.10.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 218s Running muxtree optimizer on module \design_ice.. 218s Creating internal representation of mux trees. 218s Evaluating internal representation of mux trees. 218s Analyzing evaluation results. 218s Removed 0 multiplexer ports. 218s 218s 218s 2.10.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 218s Optimizing cells in module \design_ice. 218s Performed a total of 0 changes. 218s 218s 2.10.12. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.10.13. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.10.14. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.10.15. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.10.16. Finished OPT passes. (There is nothing left to do.) 218s 218s 2.11. Executing FSM pass (extract and optimize FSM). 218s 218s 2.11.1. Executing FSM_DETECT pass (finding FSMs in design). 218s 218s 2.11.2. Executing FSM_EXTRACT pass (extracting FSM from design). 218s 218s 2.11.3. Executing FSM_OPT pass (simple optimizations of FSMs). 218s 218s 2.11.4. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.11.5. Executing FSM_OPT pass (simple optimizations of FSMs). 218s 218s 2.11.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 218s 218s 2.11.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 218s 218s 2.11.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 218s 218s 2.12. Executing OPT pass (performing simple optimizations). 218s 218s 2.12.1. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.12.2. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 218s Running muxtree optimizer on module \design_ice.. 218s Creating internal representation of mux trees. 218s Evaluating internal representation of mux trees. 218s Analyzing evaluation results. 218s Removed 0 multiplexer ports. 218s 218s 218s 2.12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 218s Optimizing cells in module \design_ice. 218s Performed a total of 0 changes. 218s 218s 2.12.5. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.12.6. Executing OPT_DFF pass (perform DFF optimizations). 218s Adding EN signal on $procdff$454 ($dff) from module design_ice (D = \I1, Q = \value). 218s 218s 2.12.7. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s Removed 1 unused cells and 1 unused wires. 218s 218s 218s 2.12.8. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.12.9. Rerunning OPT passes. (Maybe there is more to do..) 218s 218s 2.12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 218s Running muxtree optimizer on module \design_ice.. 218s Creating internal representation of mux trees. 218s No muxes found in this module. 218s Removed 0 multiplexer ports. 218s 218s 2.12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 218s Optimizing cells in module \design_ice. 218s Performed a total of 0 changes. 218s 218s 2.12.12. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.12.13. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.12.14. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.12.15. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.12.16. Finished OPT passes. (There is nothing left to do.) 218s 218s 2.13. Executing WREDUCE pass (reducing word size of cells). 218s 218s 2.14. Executing PEEPOPT pass (run peephole optimizers). 218s 218s 2.15. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.16. Executing SHARE pass (SAT-based resource sharing). 218s 218s 2.17. Executing TECHMAP pass (map to technology primitives). 218s 218s 2.17.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/cmp2lut.v 218s Parsing Verilog input from `/usr/bin/../share/yosys/cmp2lut.v' to AST representation. 218s Generating RTLIL representation for module `\_90_lut_cmp_'. 218s Successfully finished Verilog frontend. 218s 218s 2.17.2. Continuing TECHMAP pass. 218s No more expansions possible. 218s 218s 218s 2.18. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.19. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.20. Executing ALUMACC pass (create $alu and $macc cells). 218s Extracting $alu and $macc cells in module design_ice: 218s created 0 $alu and 0 $macc cells. 218s 218s 2.21. Executing OPT pass (performing simple optimizations). 218s 218s 2.21.1. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.21.2. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.21.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 218s Running muxtree optimizer on module \design_ice.. 218s Creating internal representation of mux trees. 218s No muxes found in this module. 218s Removed 0 multiplexer ports. 218s 218s 2.21.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 218s Optimizing cells in module \design_ice. 218s Performed a total of 0 changes. 218s 218s 2.21.5. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.21.6. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.21.7. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.21.8. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.21.9. Finished OPT passes. (There is nothing left to do.) 218s 218s 2.22. Executing MEMORY pass. 218s 218s 2.22.1. Executing OPT_MEM pass (optimize memories). 218s Performed a total of 0 transformations. 218s 218s 2.22.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). 218s Performed a total of 0 transformations. 218s 218s 2.22.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). 218s 218s 2.22.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 218s 218s 2.22.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). 218s 218s 2.22.6. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.22.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 218s 218s 2.22.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). 218s Performed a total of 0 transformations. 218s 218s 2.22.9. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.22.10. Executing MEMORY_COLLECT pass (generating $mem cells). 218s 218s 2.23. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.24. Executing MEMORY_LIBMAP pass (mapping memories to cells). 218s 218s 2.25. Executing TECHMAP pass (map to technology primitives). 218s 218s 2.25.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/brams_map.v 218s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/brams_map.v' to AST representation. 218s Generating RTLIL representation for module `\$__ICE40_RAM4K_'. 218s Successfully finished Verilog frontend. 218s 218s 2.25.2. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/spram_map.v 218s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/spram_map.v' to AST representation. 218s Generating RTLIL representation for module `\$__ICE40_SPRAM_'. 218s Successfully finished Verilog frontend. 218s 218s 2.25.3. Continuing TECHMAP pass. 218s No more expansions possible. 218s 218s 218s 2.26. Executing ICE40_BRAMINIT pass. 218s 218s 2.27. Executing OPT pass (performing simple optimizations). 218s 218s 2.27.1. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.27.2. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.27.3. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.27.4. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.27.5. Finished fast OPT passes. 218s 218s 2.28. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 218s 218s 2.29. Executing OPT pass (performing simple optimizations). 218s 218s 2.29.1. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.29.2. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.29.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 218s Running muxtree optimizer on module \design_ice.. 218s Creating internal representation of mux trees. 218s No muxes found in this module. 218s Removed 0 multiplexer ports. 218s 218s 2.29.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 218s Optimizing cells in module \design_ice. 218s Performed a total of 0 changes. 218s 218s 2.29.5. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.29.6. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.29.7. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.29.8. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.29.9. Finished OPT passes. (There is nothing left to do.) 218s 218s 2.30. Executing ICE40_WRAPCARRY pass (wrap carries). 218s 218s 2.31. Executing TECHMAP pass (map to technology primitives). 218s 218s 2.31.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/techmap.v 218s Parsing Verilog input from `/usr/bin/../share/yosys/techmap.v' to AST representation. 218s Generating RTLIL representation for module `\_90_simplemap_bool_ops'. 218s Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. 218s Generating RTLIL representation for module `\_90_simplemap_logic_ops'. 218s Generating RTLIL representation for module `\_90_simplemap_compare_ops'. 218s Generating RTLIL representation for module `\_90_simplemap_various'. 218s Generating RTLIL representation for module `\_90_simplemap_registers'. 218s Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. 218s Generating RTLIL representation for module `\_90_shift_shiftx'. 218s Generating RTLIL representation for module `\_90_fa'. 218s Generating RTLIL representation for module `\_90_lcu'. 218s Generating RTLIL representation for module `\_90_alu'. 218s Generating RTLIL representation for module `\_90_macc'. 218s Generating RTLIL representation for module `\_90_alumacc'. 218s Generating RTLIL representation for module `\$__div_mod_u'. 218s Generating RTLIL representation for module `\$__div_mod_trunc'. 218s Generating RTLIL representation for module `\_90_div'. 218s Generating RTLIL representation for module `\_90_mod'. 218s Generating RTLIL representation for module `\$__div_mod_floor'. 218s Generating RTLIL representation for module `\_90_divfloor'. 218s Generating RTLIL representation for module `\_90_modfloor'. 218s Generating RTLIL representation for module `\_90_pow'. 218s Generating RTLIL representation for module `\_90_pmux'. 218s Generating RTLIL representation for module `\_90_demux'. 218s Generating RTLIL representation for module `\_90_lut'. 218s Successfully finished Verilog frontend. 218s 218s 2.31.2. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/arith_map.v 218s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/arith_map.v' to AST representation. 218s Generating RTLIL representation for module `\_80_ice40_alu'. 218s Successfully finished Verilog frontend. 218s 218s 2.31.3. Continuing TECHMAP pass. 218s Using extmapper simplemap for cells of type $dffe. 218s Using extmapper simplemap for cells of type $dff. 218s No more expansions possible. 218s 218s 218s 2.32. Executing OPT pass (performing simple optimizations). 218s 218s 2.32.1. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.32.2. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.32.3. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.32.4. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.32.5. Finished fast OPT passes. 218s 218s 2.33. Executing ICE40_OPT pass (performing simple optimizations). 218s 218s 2.33.1. Running ICE40 specific optimizations. 218s 218s 2.33.2. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.33.3. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.33.4. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.33.5. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.33.6. Finished OPT passes. (There is nothing left to do.) 218s 218s 2.34. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). 218s 218s 2.35. Executing TECHMAP pass (map to technology primitives). 218s 218s 2.35.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/ff_map.v 218s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/ff_map.v' to AST representation. 218s Generating RTLIL representation for module `\$_DFF_N_'. 218s Generating RTLIL representation for module `\$_DFF_P_'. 218s Generating RTLIL representation for module `\$_DFFE_NP_'. 218s Generating RTLIL representation for module `\$_DFFE_PP_'. 218s Generating RTLIL representation for module `\$_DFF_NP0_'. 218s Generating RTLIL representation for module `\$_DFF_NP1_'. 218s Generating RTLIL representation for module `\$_DFF_PP0_'. 218s Generating RTLIL representation for module `\$_DFF_PP1_'. 218s Generating RTLIL representation for module `\$_DFFE_NP0P_'. 218s Generating RTLIL representation for module `\$_DFFE_NP1P_'. 218s Generating RTLIL representation for module `\$_DFFE_PP0P_'. 218s Generating RTLIL representation for module `\$_DFFE_PP1P_'. 218s Generating RTLIL representation for module `\$_SDFF_NP0_'. 218s Generating RTLIL representation for module `\$_SDFF_NP1_'. 218s Generating RTLIL representation for module `\$_SDFF_PP0_'. 218s Generating RTLIL representation for module `\$_SDFF_PP1_'. 218s Generating RTLIL representation for module `\$_SDFFCE_NP0P_'. 218s Generating RTLIL representation for module `\$_SDFFCE_NP1P_'. 218s Generating RTLIL representation for module `\$_SDFFCE_PP0P_'. 218s Generating RTLIL representation for module `\$_SDFFCE_PP1P_'. 218s Successfully finished Verilog frontend. 218s 218s 2.35.2. Continuing TECHMAP pass. 218s Using template \$_DFF_P_ for cells of type $_DFF_P_. 218s Using template \$_DFFE_PP_ for cells of type $_DFFE_PP_. 218s No more expansions possible. 218s 218s 218s 2.36. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.37. Executing SIMPLEMAP pass (map simple cells to gate primitives). 218s 218s 2.38. Executing ICE40_OPT pass (performing simple optimizations). 218s 218s 2.38.1. Running ICE40 specific optimizations. 218s 218s 2.38.2. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.38.3. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.38.4. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.38.5. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s Removed 0 unused cells and 9 unused wires. 218s 218s 218s 2.38.6. Rerunning OPT passes. (Removed registers in this run.) 218s 218s 2.38.7. Running ICE40 specific optimizations. 218s 218s 2.38.8. Executing OPT_EXPR pass (perform const folding). 218s Optimizing module design_ice. 218s 218s 2.38.9. Executing OPT_MERGE pass (detect identical cells). 218s Finding identical cells in module `\design_ice'. 218s Removed a total of 0 cells. 218s 218s 2.38.10. Executing OPT_DFF pass (perform DFF optimizations). 218s 218s 2.38.11. Executing OPT_CLEAN pass (remove unused cells and wires). 218s Finding unused cells or wires in module \design_ice.. 218s 218s 2.38.12. Finished OPT passes. (There is nothing left to do.) 218s 218s 2.39. Executing TECHMAP pass (map to technology primitives). 218s 218s 2.39.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/latches_map.v 218s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/latches_map.v' to AST representation. 218s Generating RTLIL representation for module `\$_DLATCH_N_'. 218s Generating RTLIL representation for module `\$_DLATCH_P_'. 218s Successfully finished Verilog frontend. 218s 218s 2.39.2. Continuing TECHMAP pass. 218s No more expansions possible. 218s 218s 218s 2.40. Executing ABC pass (technology mapping using ABC). 218s 218s 2.40.1. Extracting gate netlist of module `\design_ice' to `/input.blif'.. 218s Extracted 0 gates and 0 wires to a netlist network with 0 inputs and 0 outputs. 218s Don't call ABC as there is nothing to map. 218s Removing temp directory. 218s 218s 2.41. Executing ICE40_WRAPCARRY pass (wrap carries). 218s 218s 2.42. Executing TECHMAP pass (map to technology primitives). 218s 218s 2.42.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/ff_map.v 218s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/ff_map.v' to AST representation. 218s Generating RTLIL representation for module `\$_DFF_N_'. 218s Generating RTLIL representation for module `\$_DFF_P_'. 218s Generating RTLIL representation for module `\$_DFFE_NP_'. 218s Generating RTLIL representation for module `\$_DFFE_PP_'. 218s Generating RTLIL representation for module `\$_DFF_NP0_'. 218s Generating RTLIL representation for module `\$_DFF_NP1_'. 218s Generating RTLIL representation for module `\$_DFF_PP0_'. 218s Generating RTLIL representation for module `\$_DFF_PP1_'. 218s Generating RTLIL representation for module `\$_DFFE_NP0P_'. 218s Generating RTLIL representation for module `\$_DFFE_NP1P_'. 218s Generating RTLIL representation for module `\$_DFFE_PP0P_'. 218s Generating RTLIL representation for module `\$_DFFE_PP1P_'. 218s Generating RTLIL representation for module `\$_SDFF_NP0_'. 218s Generating RTLIL representation for module `\$_SDFF_NP1_'. 218s Generating RTLIL representation for module `\$_SDFF_PP0_'. 218s Generating RTLIL representation for module `\$_SDFF_PP1_'. 218s Generating RTLIL representation for module `\$_SDFFCE_NP0P_'. 218s Generating RTLIL representation for module `\$_SDFFCE_NP1P_'. 218s Generating RTLIL representation for module `\$_SDFFCE_PP0P_'. 218s Generating RTLIL representation for module `\$_SDFFCE_PP1P_'. 218s Successfully finished Verilog frontend. 218s 218s 2.42.2. Continuing TECHMAP pass. 218s No more expansions possible. 218s 218s 218s 2.43. Executing OPT_LUT pass (optimize LUTs). 218s Discovering LUTs. 218s Number of LUTs: 0 218s with \SB_CARRY (#0) 0 218s with \SB_CARRY (#1) 0 218s 218s Eliminating LUTs. 218s Number of LUTs: 0 218s with \SB_CARRY (#0) 0 218s with \SB_CARRY (#1) 0 218s 218s Combining LUTs. 218s Number of LUTs: 0 218s with \SB_CARRY (#0) 0 218s with \SB_CARRY (#1) 0 218s 218s Eliminated 0 LUTs. 218s Combined 0 LUTs. 218s 218s 2.44. Executing TECHMAP pass (map to technology primitives). 218s 218s 2.44.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/cells_map.v 218s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/cells_map.v' to AST representation. 218s Generating RTLIL representation for module `\$lut'. 218s Successfully finished Verilog frontend. 218s 218s 2.44.2. Continuing TECHMAP pass. 218s No more expansions possible. 218s 218s 218s 2.45. Executing AUTONAME pass. 218s Renamed 2 objects in module design_ice (2 iterations). 218s 218s 218s 2.46. Executing HIERARCHY pass (managing design hierarchy). 218s 218s 2.46.1. Analyzing design hierarchy.. 218s Top module: \design_ice 218s 218s 2.46.2. Analyzing design hierarchy.. 218s Top module: \design_ice 218s Removed 0 unused modules. 218s 218s 2.47. Printing statistics. 218s 218s === design_ice === 218s 218s Number of wires: 5 218s Number of wire bits: 5 218s Number of public wires: 5 218s Number of public wire bits: 5 218s Number of memories: 0 218s Number of memory bits: 0 218s Number of processes: 0 218s Number of cells: 2 218s SB_DFF 1 218s SB_DFFE 1 218s 218s 2.48. Executing CHECK pass (checking for obvious problems). 218s Checking module design_ice... 218s Found and reported 0 problems. 218s 218s 2.49. Executing BLIF backend. 218s 218s End of script. Logfile hash: 97109864b5, CPU: user 0.83s system 0.01s, MEM: 22.00 MB peak 218s Yosys 0.23 (git sha1 7ce5011c24b) 218s Time spent: 75% 13x read_verilog (0 sec), 6% 1x synth_ice40 (0 sec), ... 219s autopkgtest [16:48:44]: test ice: -----------------------] 219s autopkgtest [16:48:44]: test ice: - - - - - - - - - - results - - - - - - - - - - 219s ice PASS 219s autopkgtest [16:48:44]: test smtbc: preparing testbed 224s Reading package lists... 224s Building dependency tree... 224s Reading state information... 225s Starting pkgProblemResolver with broken count: 0 225s Starting 2 pkgProblemResolver with broken count: 0 225s Done 225s The following NEW packages will be installed: 225s autopkgtest-satdep 225s 0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. 225s Need to get 0 B/712 B of archives. 225s After this operation, 0 B of additional disk space will be used. 225s Get:1 /tmp/autopkgtest.suiVSu/2-autopkgtest-satdep.deb autopkgtest-satdep ppc64el 0 [712 B] 225s Selecting previously unselected package autopkgtest-satdep. 225s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 86686 files and directories currently installed.) 225s Preparing to unpack .../2-autopkgtest-satdep.deb ... 225s Unpacking autopkgtest-satdep (0) ... 225s Setting up autopkgtest-satdep (0) ... 227s (Reading database ... 86686 files and directories currently installed.) 227s Removing autopkgtest-satdep (0) ... 228s autopkgtest [16:48:53]: test smtbc: [----------------------- 229s autopkgtest [16:48:54]: test smtbc: -----------------------] 229s autopkgtest [16:48:54]: test smtbc: - - - - - - - - - - results - - - - - - - - - - 229s smtbc PASS 229s autopkgtest [16:48:54]: @@@@@@@@@@@@@@@@@@@@ summary 229s ice PASS 229s smtbc PASS 240s Creating nova instance adt-noble-ppc64el-yosys-20240323-164505-juju-7f2275-prod-proposed-migration-environment-3 from image adt/ubuntu-noble-ppc64el-server-20240323.img (UUID ff8abf95-5243-4ea5-b7f5-3bf690534a1d)...