0s autopkgtest [03:14:51]: starting date and time: 2024-03-25 03:14:51+0000 0s autopkgtest [03:14:51]: git checkout: 4a1cd702 l/adt_testbed: don't blame the testbed for unsolvable build deps 0s autopkgtest [03:14:51]: host juju-7f2275-prod-proposed-migration-environment-3; command line: /home/ubuntu/autopkgtest/runner/autopkgtest --output-dir /tmp/autopkgtest-work.lrr8zrib/out --timeout-copy=6000 --setup-commands /home/ubuntu/autopkgtest-cloud/worker-config-production/setup-canonical.sh --setup-commands /home/ubuntu/autopkgtest/setup-commands/setup-testbed --apt-pocket=proposed=src:readline,src:asymptote --apt-upgrade yosys --timeout-short=300 --timeout-copy=20000 --timeout-build=20000 '--env=ADT_TEST_TRIGGERS=readline/8.2-4 asymptote/2.87+ds-1build1' -- ssh -s /home/ubuntu/autopkgtest/ssh-setup/nova -- --flavor autopkgtest --security-groups autopkgtest-juju-7f2275-prod-proposed-migration-environment-3@bos03-arm64-11.secgroup --name adt-noble-arm64-yosys-20240325-030105-juju-7f2275-prod-proposed-migration-environment-3 --image adt/ubuntu-noble-arm64-server --keyname testbed-juju-7f2275-prod-proposed-migration-environment-3 --net-id=net_prod-proposed-migration -e TERM=linux -e ''"'"'http_proxy=http://squid.internal:3128'"'"'' -e ''"'"'https_proxy=http://squid.internal:3128'"'"'' -e ''"'"'no_proxy=127.0.0.1,127.0.1.1,login.ubuntu.com,localhost,localdomain,novalocal,internal,archive.ubuntu.com,ports.ubuntu.com,security.ubuntu.com,ddebs.ubuntu.com,changelogs.ubuntu.com,launchpadlibrarian.net,launchpadcontent.net,launchpad.net,10.24.0.0/24,keystone.ps5.canonical.com,objectstorage.prodstack5.canonical.com'"'"'' --mirror=http://ftpmaster.internal/ubuntu/ 73s autopkgtest [03:16:04]: testbed dpkg architecture: arm64 73s autopkgtest [03:16:04]: testbed apt version: 2.7.12 73s autopkgtest [03:16:04]: @@@@@@@@@@@@@@@@@@@@ test bed setup 74s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] 74s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [4010 kB] 75s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [6540 B] 75s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [56.8 kB] 75s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [496 kB] 75s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 Packages [708 kB] 75s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 c-n-f Metadata [3144 B] 75s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted arm64 Packages [33.7 kB] 75s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted arm64 c-n-f Metadata [116 B] 75s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 Packages [4365 kB] 75s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 c-n-f Metadata [8528 B] 75s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse arm64 Packages [71.0 kB] 75s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse arm64 c-n-f Metadata [116 B] 77s Fetched 9876 kB in 2s (4807 kB/s) 77s Reading package lists... 80s Reading package lists... 80s Building dependency tree... 80s Reading state information... 80s Calculating upgrade... 81s The following packages will be upgraded: 81s readline-common 81s 1 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 81s Need to get 56.4 kB of archives. 81s After this operation, 0 B of additional disk space will be used. 81s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 readline-common all 8.2-4 [56.4 kB] 81s Fetched 56.4 kB in 0s (194 kB/s) 82s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 74819 files and directories currently installed.) 82s Preparing to unpack .../readline-common_8.2-4_all.deb ... 82s Unpacking readline-common (8.2-4) over (8.2-3) ... 82s Setting up readline-common (8.2-4) ... 82s Processing triggers for install-info (7.1-3) ... 82s Processing triggers for man-db (2.12.0-3) ... 82s Reading package lists... 83s Building dependency tree... 83s Reading state information... 83s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 84s sh: Attempting to set up Debian/Ubuntu apt sources automatically 84s sh: Distribution appears to be Ubuntu 86s Reading package lists... 86s Building dependency tree... 86s Reading state information... 86s eatmydata is already the newest version (131-1). 86s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 86s Reading package lists... 86s Building dependency tree... 86s Reading state information... 87s dbus is already the newest version (1.14.10-4ubuntu1). 87s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 87s Reading package lists... 87s Building dependency tree... 87s Reading state information... 88s rng-tools-debian is already the newest version (2.4). 88s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 88s Reading package lists... 88s Building dependency tree... 88s Reading state information... 88s The following packages will be REMOVED: 88s cloud-init* python3-configobj* python3-debconf* 89s 0 upgraded, 0 newly installed, 3 to remove and 0 not upgraded. 89s After this operation, 3256 kB disk space will be freed. 89s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 74819 files and directories currently installed.) 89s Removing cloud-init (24.1.2-0ubuntu1) ... 90s Removing python3-configobj (5.0.8-3) ... 90s Removing python3-debconf (1.5.86) ... 90s Processing triggers for man-db (2.12.0-3) ... 90s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 74430 files and directories currently installed.) 90s Purging configuration files for cloud-init (24.1.2-0ubuntu1) ... 91s dpkg: warning: while removing cloud-init, directory '/etc/cloud/cloud.cfg.d' not empty so not removed 91s Processing triggers for rsyslog (8.2312.0-3ubuntu3) ... 91s invoke-rc.d: policy-rc.d denied execution of try-restart. 91s Reading package lists... 91s Building dependency tree... 91s Reading state information... 92s linux-generic is already the newest version (6.8.0-11.11+1). 92s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 92s Hit:1 http://ftpmaster.internal/ubuntu noble InRelease 92s Hit:2 http://ftpmaster.internal/ubuntu noble-updates InRelease 92s Hit:3 http://ftpmaster.internal/ubuntu noble-security InRelease 94s Reading package lists... 94s Reading package lists... 95s Building dependency tree... 95s Reading state information... 95s Calculating upgrade... 95s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 95s Reading package lists... 96s Building dependency tree... 96s Reading state information... 96s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 97s autopkgtest [03:16:28]: rebooting testbed after setup commands that affected boot 123s autopkgtest [03:16:54]: testbed running kernel: Linux 6.8.0-11-generic #11-Ubuntu SMP PREEMPT_DYNAMIC Wed Feb 14 02:53:31 UTC 2024 126s autopkgtest [03:16:57]: @@@@@@@@@@@@@@@@@@@@ apt-source yosys 131s Get:1 http://ftpmaster.internal/ubuntu noble/universe yosys 0.23-6 (dsc) [2520 B] 131s Get:2 http://ftpmaster.internal/ubuntu noble/universe yosys 0.23-6 (tar) [2369 kB] 131s Get:3 http://ftpmaster.internal/ubuntu noble/universe yosys 0.23-6 (diff) [18.0 kB] 131s gpgv: Signature made Sat Dec 3 22:16:42 2022 UTC 131s gpgv: using RSA key 57A1BF15B4F6F99B89EDB29FD39481AE1E79ACF7 131s gpgv: Can't check signature: No public key 131s dpkg-source: warning: cannot verify inline signature for ./yosys_0.23-6.dsc: no acceptable signature found 131s autopkgtest [03:17:02]: testing package yosys version 0.23-6 131s autopkgtest [03:17:02]: build not needed 132s autopkgtest [03:17:03]: test ice: preparing testbed 138s Reading package lists... 138s Building dependency tree... 138s Reading state information... 138s Starting pkgProblemResolver with broken count: 0 138s Starting 2 pkgProblemResolver with broken count: 0 138s Done 139s The following additional packages will be installed: 139s adwaita-icon-theme at-spi2-common berkeley-abc dconf-gsettings-backend 139s dconf-service fontconfig fontconfig-config fonts-dejavu-core 139s fonts-dejavu-mono gir1.2-atk-1.0 gir1.2-freedesktop gir1.2-gdkpixbuf-2.0 139s gir1.2-gtk-3.0 gir1.2-harfbuzz-0.0 gir1.2-pango-1.0 graphviz 139s gtk-update-icon-cache hicolor-icon-theme humanity-icon-theme libann0 139s libatk-bridge2.0-0 libatk1.0-0 libatspi2.0-0 libavahi-client3 139s libavahi-common-data libavahi-common3 libblas3 libc-dev-bin libc6-dev 139s libcairo-gobject2 libcairo2 libcdt5 libcgraph6 libcolord2 libcrypt-dev 139s libcups2 libdatrie1 libdconf1 libdeflate0 libepoxy0 libffi-dev 139s libfontconfig1 libgd3 libgdk-pixbuf-2.0-0 libgdk-pixbuf2.0-common 139s libgfortran5 libgraphite2-3 libgtk-3-0 libgtk-3-common libgts-0.7-5 libgvc6 139s libgvpr2 libharfbuzz-gobject0 libharfbuzz0b libice6 libjbig0 libjpeg-turbo8 139s libjpeg8 liblab-gamut1 liblapack3 liblcms2-2 liblerc4 libltdl7 139s libncurses-dev libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 139s libpangoxft-1.0-0 libpathplan4 libpixman-1-0 libpython3.11-minimal 139s libpython3.11-stdlib libreadline-dev libsharpyuv0 libsm6 libtcl8.6 139s libthai-data libthai0 libtiff6 libwayland-client0 libwayland-cursor0 139s libwayland-egl1 libwebp7 libxaw7 libxcb-render0 libxcb-shm0 libxcomposite1 139s libxcursor1 libxdamage1 libxfixes3 libxft2 libxi6 libxinerama1 libxmu6 139s libxpm4 libxrandr2 libxrender1 libxt6 linux-libc-dev python3-cairo 139s python3-click python3-colorama python3-gi-cairo python3-numpy python3.11 139s python3.11-minimal rpcsvc-proto tcl tcl-dev tcl8.6 tcl8.6-dev ubuntu-mono 139s x11-common xdot yosys yosys-dev yosys-doc zlib1g-dev 139s Suggested packages: 139s gsfonts graphviz-doc glibc-doc manpages-dev colord cups-common libgd-tools 139s gvfs liblcms2-utils ncurses-doc readline-doc gcc gfortran python3-dev 139s python3-pytest python3.11-venv python3.11-doc binfmt-support tcl-doc 139s tcl-tclreadline tcl8.6-doc 139s Recommended packages: 139s librsvg2-common fonts-liberation2 at-spi2-core manpages manpages-dev 139s libc-devtools libgdk-pixbuf2.0-bin libgtk-3-bin libgts-bin 139s The following NEW packages will be installed: 139s adwaita-icon-theme at-spi2-common autopkgtest-satdep berkeley-abc 139s dconf-gsettings-backend dconf-service fontconfig fontconfig-config 139s fonts-dejavu-core fonts-dejavu-mono gir1.2-atk-1.0 gir1.2-freedesktop 139s gir1.2-gdkpixbuf-2.0 gir1.2-gtk-3.0 gir1.2-harfbuzz-0.0 gir1.2-pango-1.0 139s graphviz gtk-update-icon-cache hicolor-icon-theme humanity-icon-theme 139s libann0 libatk-bridge2.0-0 libatk1.0-0 libatspi2.0-0 libavahi-client3 139s libavahi-common-data libavahi-common3 libblas3 libc-dev-bin libc6-dev 139s libcairo-gobject2 libcairo2 libcdt5 libcgraph6 libcolord2 libcrypt-dev 139s libcups2 libdatrie1 libdconf1 libdeflate0 libepoxy0 libffi-dev 139s libfontconfig1 libgd3 libgdk-pixbuf-2.0-0 libgdk-pixbuf2.0-common 139s libgfortran5 libgraphite2-3 libgtk-3-0 libgtk-3-common libgts-0.7-5 libgvc6 139s libgvpr2 libharfbuzz-gobject0 libharfbuzz0b libice6 libjbig0 libjpeg-turbo8 139s libjpeg8 liblab-gamut1 liblapack3 liblcms2-2 liblerc4 libltdl7 139s libncurses-dev libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 139s libpangoxft-1.0-0 libpathplan4 libpixman-1-0 libpython3.11-minimal 139s libpython3.11-stdlib libreadline-dev libsharpyuv0 libsm6 libtcl8.6 139s libthai-data libthai0 libtiff6 libwayland-client0 libwayland-cursor0 139s libwayland-egl1 libwebp7 libxaw7 libxcb-render0 libxcb-shm0 libxcomposite1 139s libxcursor1 libxdamage1 libxfixes3 libxft2 libxi6 libxinerama1 libxmu6 139s libxpm4 libxrandr2 libxrender1 libxt6 linux-libc-dev python3-cairo 139s python3-click python3-colorama python3-gi-cairo python3-numpy python3.11 139s python3.11-minimal rpcsvc-proto tcl tcl-dev tcl8.6 tcl8.6-dev ubuntu-mono 139s x11-common xdot yosys yosys-dev yosys-doc zlib1g-dev 139s 0 upgraded, 119 newly installed, 0 to remove and 0 not upgraded. 139s Need to get 47.4 MB/47.4 MB of archives. 139s After this operation, 209 MB of additional disk space will be used. 139s Get:1 /tmp/autopkgtest.Azol3b/1-autopkgtest-satdep.deb autopkgtest-satdep arm64 0 [708 B] 139s Get:2 http://ftpmaster.internal/ubuntu noble/main arm64 libpython3.11-minimal arm64 3.11.8-1 [837 kB] 140s Get:3 http://ftpmaster.internal/ubuntu noble/main arm64 python3.11-minimal arm64 3.11.8-1 [2150 kB] 140s Get:4 http://ftpmaster.internal/ubuntu noble/main arm64 libpython3.11-stdlib arm64 3.11.8-1 [1913 kB] 140s Get:5 http://ftpmaster.internal/ubuntu noble/main arm64 python3.11 arm64 3.11.8-1 [589 kB] 140s Get:6 http://ftpmaster.internal/ubuntu noble/main arm64 libgdk-pixbuf2.0-common all 2.42.10+dfsg-3 [7624 B] 140s Get:7 http://ftpmaster.internal/ubuntu noble/main arm64 libjpeg-turbo8 arm64 2.1.5-2ubuntu1 [160 kB] 140s Get:8 http://ftpmaster.internal/ubuntu noble/main arm64 libjpeg8 arm64 8c-2ubuntu11 [2148 B] 140s Get:9 http://ftpmaster.internal/ubuntu noble/main arm64 libdeflate0 arm64 1.19-1 [43.4 kB] 140s Get:10 http://ftpmaster.internal/ubuntu noble/main arm64 libjbig0 arm64 2.1-6.1ubuntu1 [28.9 kB] 140s Get:11 http://ftpmaster.internal/ubuntu noble/main arm64 liblerc4 arm64 4.0.0+ds-4ubuntu1 [153 kB] 140s Get:12 http://ftpmaster.internal/ubuntu noble/main arm64 libsharpyuv0 arm64 1.3.2-0.4 [14.4 kB] 140s Get:13 http://ftpmaster.internal/ubuntu noble/main arm64 libwebp7 arm64 1.3.2-0.4 [191 kB] 140s Get:14 http://ftpmaster.internal/ubuntu noble/main arm64 libtiff6 arm64 4.5.1+git230720-3ubuntu1 [226 kB] 140s Get:15 http://ftpmaster.internal/ubuntu noble/main arm64 libgdk-pixbuf-2.0-0 arm64 2.42.10+dfsg-3 [144 kB] 140s Get:16 http://ftpmaster.internal/ubuntu noble/main arm64 gtk-update-icon-cache arm64 3.24.40-2ubuntu1 [50.5 kB] 140s Get:17 http://ftpmaster.internal/ubuntu noble/main arm64 hicolor-icon-theme all 0.17-2 [9976 B] 140s Get:18 http://ftpmaster.internal/ubuntu noble/main arm64 humanity-icon-theme all 0.6.16 [1282 kB] 140s Get:19 http://ftpmaster.internal/ubuntu noble/main arm64 ubuntu-mono all 24.04-0ubuntu1 [151 kB] 140s Get:20 http://ftpmaster.internal/ubuntu noble/main arm64 adwaita-icon-theme all 46~rc-1 [723 kB] 140s Get:21 http://ftpmaster.internal/ubuntu noble/main arm64 at-spi2-common all 2.50.0-1 [7864 B] 140s Get:22 http://ftpmaster.internal/ubuntu noble/universe arm64 berkeley-abc arm64 1.01+20230625git01b1bd1+dfsg-3 [4306 kB] 140s Get:23 http://ftpmaster.internal/ubuntu noble/main arm64 libdconf1 arm64 0.40.0-4 [39.2 kB] 140s Get:24 http://ftpmaster.internal/ubuntu noble/main arm64 dconf-service arm64 0.40.0-4 [26.1 kB] 140s Get:25 http://ftpmaster.internal/ubuntu noble/main arm64 dconf-gsettings-backend arm64 0.40.0-4 [21.6 kB] 140s Get:26 http://ftpmaster.internal/ubuntu noble/main arm64 fonts-dejavu-mono all 2.37-8 [502 kB] 140s Get:27 http://ftpmaster.internal/ubuntu noble/main arm64 fonts-dejavu-core all 2.37-8 [835 kB] 140s Get:28 http://ftpmaster.internal/ubuntu noble/main arm64 fontconfig-config arm64 2.15.0-1ubuntu1 [37.0 kB] 140s Get:29 http://ftpmaster.internal/ubuntu noble/main arm64 libfontconfig1 arm64 2.15.0-1ubuntu1 [142 kB] 140s Get:30 http://ftpmaster.internal/ubuntu noble/main arm64 fontconfig arm64 2.15.0-1ubuntu1 [190 kB] 140s Get:31 http://ftpmaster.internal/ubuntu noble/main arm64 libatk1.0-0 arm64 2.50.0-1 [53.8 kB] 140s Get:32 http://ftpmaster.internal/ubuntu noble/main arm64 gir1.2-atk-1.0 arm64 2.50.0-1 [22.9 kB] 140s Get:33 http://ftpmaster.internal/ubuntu noble/main arm64 libpixman-1-0 arm64 0.42.2-1 [193 kB] 140s Get:34 http://ftpmaster.internal/ubuntu noble/main arm64 libxcb-render0 arm64 1.15-1 [16.1 kB] 140s Get:35 http://ftpmaster.internal/ubuntu noble/main arm64 libxcb-shm0 arm64 1.15-1 [5780 B] 140s Get:36 http://ftpmaster.internal/ubuntu noble/main arm64 libxrender1 arm64 1:0.9.10-1.1 [19.1 kB] 140s Get:37 http://ftpmaster.internal/ubuntu noble/main arm64 libcairo2 arm64 1.18.0-1 [550 kB] 140s Get:38 http://ftpmaster.internal/ubuntu noble/main arm64 libcairo-gobject2 arm64 1.18.0-1 [127 kB] 140s Get:39 http://ftpmaster.internal/ubuntu noble/main arm64 gir1.2-freedesktop arm64 1.79.1-1 [48.5 kB] 140s Get:40 http://ftpmaster.internal/ubuntu noble/main arm64 gir1.2-gdkpixbuf-2.0 arm64 2.42.10+dfsg-3 [9474 B] 140s Get:41 http://ftpmaster.internal/ubuntu noble/main arm64 libgraphite2-3 arm64 1.3.14-2 [81.5 kB] 140s Get:42 http://ftpmaster.internal/ubuntu noble/main arm64 libharfbuzz0b arm64 8.3.0-2 [463 kB] 140s Get:43 http://ftpmaster.internal/ubuntu noble/main arm64 libharfbuzz-gobject0 arm64 8.3.0-2 [32.8 kB] 140s Get:44 http://ftpmaster.internal/ubuntu noble/main arm64 gir1.2-harfbuzz-0.0 arm64 8.3.0-2 [44.5 kB] 140s Get:45 http://ftpmaster.internal/ubuntu noble/main arm64 libthai-data all 0.1.29-2 [158 kB] 140s Get:46 http://ftpmaster.internal/ubuntu noble/main arm64 libdatrie1 arm64 0.2.13-3 [21.7 kB] 140s Get:47 http://ftpmaster.internal/ubuntu noble/main arm64 libthai0 arm64 0.1.29-2 [18.1 kB] 140s Get:48 http://ftpmaster.internal/ubuntu noble/main arm64 libpango-1.0-0 arm64 1.51.0+ds-4 [226 kB] 140s Get:49 http://ftpmaster.internal/ubuntu noble/main arm64 libpangoft2-1.0-0 arm64 1.51.0+ds-4 [41.2 kB] 140s Get:50 http://ftpmaster.internal/ubuntu noble/main arm64 libpangocairo-1.0-0 arm64 1.51.0+ds-4 [27.6 kB] 140s Get:51 http://ftpmaster.internal/ubuntu noble/main arm64 libxft2 arm64 2.3.6-1 [43.3 kB] 140s Get:52 http://ftpmaster.internal/ubuntu noble/main arm64 libpangoxft-1.0-0 arm64 1.51.0+ds-4 [20.3 kB] 140s Get:53 http://ftpmaster.internal/ubuntu noble/main arm64 gir1.2-pango-1.0 arm64 1.51.0+ds-4 [34.9 kB] 140s Get:54 http://ftpmaster.internal/ubuntu noble/main arm64 libxi6 arm64 2:1.8.1-1 [31.9 kB] 140s Get:55 http://ftpmaster.internal/ubuntu noble/main arm64 libatspi2.0-0 arm64 2.50.0-1 [78.5 kB] 140s Get:56 http://ftpmaster.internal/ubuntu noble/main arm64 libatk-bridge2.0-0 arm64 2.50.0-1 [65.0 kB] 140s Get:57 http://ftpmaster.internal/ubuntu noble/main arm64 liblcms2-2 arm64 2.14-2 [159 kB] 140s Get:58 http://ftpmaster.internal/ubuntu noble/main arm64 libcolord2 arm64 1.4.7-1 [148 kB] 140s Get:59 http://ftpmaster.internal/ubuntu noble/main arm64 libavahi-common-data arm64 0.8-13ubuntu2 [29.5 kB] 140s Get:60 http://ftpmaster.internal/ubuntu noble/main arm64 libavahi-common3 arm64 0.8-13ubuntu2 [23.2 kB] 140s Get:61 http://ftpmaster.internal/ubuntu noble/main arm64 libavahi-client3 arm64 0.8-13ubuntu2 [27.3 kB] 140s Get:62 http://ftpmaster.internal/ubuntu noble/main arm64 libcups2 arm64 2.4.6-0ubuntu3 [270 kB] 140s Get:63 http://ftpmaster.internal/ubuntu noble/main arm64 libepoxy0 arm64 1.5.10-1 [247 kB] 140s Get:64 http://ftpmaster.internal/ubuntu noble/main arm64 libwayland-client0 arm64 1.22.0-2.1 [25.6 kB] 140s Get:65 http://ftpmaster.internal/ubuntu noble/main arm64 libwayland-cursor0 arm64 1.22.0-2.1 [10.2 kB] 140s Get:66 http://ftpmaster.internal/ubuntu noble/main arm64 libwayland-egl1 arm64 1.22.0-2.1 [5498 B] 140s Get:67 http://ftpmaster.internal/ubuntu noble/main arm64 libxcomposite1 arm64 1:0.4.5-1build2 [7080 B] 140s Get:68 http://ftpmaster.internal/ubuntu noble/main arm64 libxfixes3 arm64 1:6.0.0-2 [11.0 kB] 140s Get:69 http://ftpmaster.internal/ubuntu noble/main arm64 libxcursor1 arm64 1:1.2.1-1 [21.3 kB] 140s Get:70 http://ftpmaster.internal/ubuntu noble/main arm64 libxdamage1 arm64 1:1.1.6-1 [6032 B] 140s Get:71 http://ftpmaster.internal/ubuntu noble/main arm64 libxinerama1 arm64 2:1.1.4-3 [7056 B] 140s Get:72 http://ftpmaster.internal/ubuntu noble/main arm64 libxrandr2 arm64 2:1.5.2-2 [19.6 kB] 140s Get:73 http://ftpmaster.internal/ubuntu noble/main arm64 libgtk-3-common all 3.24.40-2ubuntu1 [1200 kB] 140s Get:74 http://ftpmaster.internal/ubuntu noble/main arm64 libgtk-3-0 arm64 3.24.40-2ubuntu1 [2867 kB] 140s Get:75 http://ftpmaster.internal/ubuntu noble/main arm64 gir1.2-gtk-3.0 arm64 3.24.40-2ubuntu1 [245 kB] 140s Get:76 http://ftpmaster.internal/ubuntu noble/universe arm64 libann0 arm64 1.1.2+doc-9 [25.1 kB] 140s Get:77 http://ftpmaster.internal/ubuntu noble/universe arm64 libcdt5 arm64 2.42.2-8build1 [20.3 kB] 140s Get:78 http://ftpmaster.internal/ubuntu noble/universe arm64 libcgraph6 arm64 2.42.2-8build1 [44.8 kB] 140s Get:79 http://ftpmaster.internal/ubuntu noble/main arm64 libxpm4 arm64 1:3.5.17-1 [34.5 kB] 140s Get:80 http://ftpmaster.internal/ubuntu noble/main arm64 libgd3 arm64 2.3.3-9ubuntu1 [119 kB] 140s Get:81 http://ftpmaster.internal/ubuntu noble/universe arm64 libgts-0.7-5 arm64 0.7.6+darcs121130-5 [157 kB] 140s Get:82 http://ftpmaster.internal/ubuntu noble/main arm64 libltdl7 arm64 2.4.7-7 [40.3 kB] 140s Get:83 http://ftpmaster.internal/ubuntu noble/universe arm64 libpathplan4 arm64 2.42.2-8build1 [22.6 kB] 141s Get:84 http://ftpmaster.internal/ubuntu noble/universe arm64 libgvc6 arm64 2.42.2-8build1 [692 kB] 141s Get:85 http://ftpmaster.internal/ubuntu noble/universe arm64 libgvpr2 arm64 2.42.2-8build1 [187 kB] 141s Get:86 http://ftpmaster.internal/ubuntu noble/universe arm64 liblab-gamut1 arm64 2.42.2-8build1 [1860 kB] 141s Get:87 http://ftpmaster.internal/ubuntu noble/main arm64 x11-common all 1:7.7+23ubuntu2 [23.4 kB] 141s Get:88 http://ftpmaster.internal/ubuntu noble/main arm64 libice6 arm64 2:1.0.10-1build2 [41.7 kB] 141s Get:89 http://ftpmaster.internal/ubuntu noble/main arm64 libsm6 arm64 2:1.2.3-1build2 [16.1 kB] 141s Get:90 http://ftpmaster.internal/ubuntu noble/main arm64 libxt6 arm64 1:1.2.1-1.1 [167 kB] 141s Get:91 http://ftpmaster.internal/ubuntu noble/main arm64 libxmu6 arm64 2:1.1.3-3 [48.9 kB] 141s Get:92 http://ftpmaster.internal/ubuntu noble/main arm64 libxaw7 arm64 2:1.0.14-1 [184 kB] 141s Get:93 http://ftpmaster.internal/ubuntu noble/universe arm64 graphviz arm64 2.42.2-8build1 [613 kB] 141s Get:94 http://ftpmaster.internal/ubuntu noble/main arm64 libblas3 arm64 3.12.0-3 [143 kB] 141s Get:95 http://ftpmaster.internal/ubuntu noble/main arm64 libc-dev-bin arm64 2.39-0ubuntu6 [19.7 kB] 141s Get:96 http://ftpmaster.internal/ubuntu noble/main arm64 linux-libc-dev arm64 6.8.0-11.11 [1569 kB] 141s Get:97 http://ftpmaster.internal/ubuntu noble/main arm64 libcrypt-dev arm64 1:4.4.36-4 [136 kB] 141s Get:98 http://ftpmaster.internal/ubuntu noble/main arm64 rpcsvc-proto arm64 1.4.2-0ubuntu6 [65.4 kB] 141s Get:99 http://ftpmaster.internal/ubuntu noble/main arm64 libc6-dev arm64 2.39-0ubuntu6 [1596 kB] 141s Get:100 http://ftpmaster.internal/ubuntu noble/main arm64 libgfortran5 arm64 14-20240303-1ubuntu1 [444 kB] 141s Get:101 http://ftpmaster.internal/ubuntu noble/main arm64 liblapack3 arm64 3.12.0-3 [2241 kB] 141s Get:102 http://ftpmaster.internal/ubuntu noble/main arm64 libncurses-dev arm64 6.4+20240113-1ubuntu1 [385 kB] 141s Get:103 http://ftpmaster.internal/ubuntu noble/main arm64 libreadline-dev arm64 8.2-3 [177 kB] 141s Get:104 http://ftpmaster.internal/ubuntu noble/main arm64 libtcl8.6 arm64 8.6.13+dfsg-2 [980 kB] 141s Get:105 http://ftpmaster.internal/ubuntu noble/main arm64 python3-cairo arm64 1.25.1-2 [153 kB] 141s Get:106 http://ftpmaster.internal/ubuntu noble/main arm64 python3-colorama all 0.4.6-4 [32.1 kB] 141s Get:107 http://ftpmaster.internal/ubuntu noble/main arm64 python3-click all 8.1.6-1 [79.0 kB] 141s Get:108 http://ftpmaster.internal/ubuntu noble/main arm64 python3-gi-cairo arm64 3.47.0-3 [9250 B] 141s Get:109 http://ftpmaster.internal/ubuntu noble/main arm64 python3-numpy arm64 1:1.24.2-2 [4525 kB] 142s Get:110 http://ftpmaster.internal/ubuntu noble/main arm64 tcl8.6 arm64 8.6.13+dfsg-2 [14.6 kB] 142s Get:111 http://ftpmaster.internal/ubuntu noble/main arm64 tcl arm64 8.6.13 [3992 B] 142s Get:112 http://ftpmaster.internal/ubuntu noble/main arm64 zlib1g-dev arm64 1:1.3.dfsg-3ubuntu1 [895 kB] 142s Get:113 http://ftpmaster.internal/ubuntu noble/main arm64 tcl8.6-dev arm64 8.6.13+dfsg-2 [1024 kB] 142s Get:114 http://ftpmaster.internal/ubuntu noble/main arm64 tcl-dev arm64 8.6.13 [5752 B] 142s Get:115 http://ftpmaster.internal/ubuntu noble/universe arm64 xdot all 1.3-1 [30.3 kB] 142s Get:116 http://ftpmaster.internal/ubuntu noble/universe arm64 yosys arm64 0.23-6 [3314 kB] 142s Get:117 http://ftpmaster.internal/ubuntu noble/main arm64 libffi-dev arm64 3.4.6-1 [59.5 kB] 142s Get:118 http://ftpmaster.internal/ubuntu noble/universe arm64 yosys-dev arm64 0.23-6 [82.3 kB] 142s Get:119 http://ftpmaster.internal/ubuntu noble/universe arm64 yosys-doc all 0.23-6 [2546 kB] 142s Fetched 47.4 MB in 3s (17.5 MB/s) 142s Selecting previously unselected package libpython3.11-minimal:arm64. 143s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 74375 files and directories currently installed.) 143s Preparing to unpack .../000-libpython3.11-minimal_3.11.8-1_arm64.deb ... 143s Unpacking libpython3.11-minimal:arm64 (3.11.8-1) ... 143s Selecting previously unselected package python3.11-minimal. 143s Preparing to unpack .../001-python3.11-minimal_3.11.8-1_arm64.deb ... 143s Unpacking python3.11-minimal (3.11.8-1) ... 143s Selecting previously unselected package libpython3.11-stdlib:arm64. 143s Preparing to unpack .../002-libpython3.11-stdlib_3.11.8-1_arm64.deb ... 143s Unpacking libpython3.11-stdlib:arm64 (3.11.8-1) ... 143s Selecting previously unselected package python3.11. 143s Preparing to unpack .../003-python3.11_3.11.8-1_arm64.deb ... 143s Unpacking python3.11 (3.11.8-1) ... 143s Selecting previously unselected package libgdk-pixbuf2.0-common. 143s Preparing to unpack .../004-libgdk-pixbuf2.0-common_2.42.10+dfsg-3_all.deb ... 143s Unpacking libgdk-pixbuf2.0-common (2.42.10+dfsg-3) ... 143s Selecting previously unselected package libjpeg-turbo8:arm64. 143s Preparing to unpack .../005-libjpeg-turbo8_2.1.5-2ubuntu1_arm64.deb ... 143s Unpacking libjpeg-turbo8:arm64 (2.1.5-2ubuntu1) ... 143s Selecting previously unselected package libjpeg8:arm64. 143s Preparing to unpack .../006-libjpeg8_8c-2ubuntu11_arm64.deb ... 143s Unpacking libjpeg8:arm64 (8c-2ubuntu11) ... 143s Selecting previously unselected package libdeflate0:arm64. 143s Preparing to unpack .../007-libdeflate0_1.19-1_arm64.deb ... 143s Unpacking libdeflate0:arm64 (1.19-1) ... 143s Selecting previously unselected package libjbig0:arm64. 143s Preparing to unpack .../008-libjbig0_2.1-6.1ubuntu1_arm64.deb ... 143s Unpacking libjbig0:arm64 (2.1-6.1ubuntu1) ... 143s Selecting previously unselected package liblerc4:arm64. 143s Preparing to unpack .../009-liblerc4_4.0.0+ds-4ubuntu1_arm64.deb ... 143s Unpacking liblerc4:arm64 (4.0.0+ds-4ubuntu1) ... 143s Selecting previously unselected package libsharpyuv0:arm64. 143s Preparing to unpack .../010-libsharpyuv0_1.3.2-0.4_arm64.deb ... 143s Unpacking libsharpyuv0:arm64 (1.3.2-0.4) ... 143s Selecting previously unselected package libwebp7:arm64. 143s Preparing to unpack .../011-libwebp7_1.3.2-0.4_arm64.deb ... 143s Unpacking libwebp7:arm64 (1.3.2-0.4) ... 143s Selecting previously unselected package libtiff6:arm64. 143s Preparing to unpack .../012-libtiff6_4.5.1+git230720-3ubuntu1_arm64.deb ... 143s Unpacking libtiff6:arm64 (4.5.1+git230720-3ubuntu1) ... 143s Selecting previously unselected package libgdk-pixbuf-2.0-0:arm64. 143s Preparing to unpack .../013-libgdk-pixbuf-2.0-0_2.42.10+dfsg-3_arm64.deb ... 143s Unpacking libgdk-pixbuf-2.0-0:arm64 (2.42.10+dfsg-3) ... 143s Selecting previously unselected package gtk-update-icon-cache. 143s Preparing to unpack .../014-gtk-update-icon-cache_3.24.40-2ubuntu1_arm64.deb ... 143s Unpacking gtk-update-icon-cache (3.24.40-2ubuntu1) ... 143s Selecting previously unselected package hicolor-icon-theme. 143s Preparing to unpack .../015-hicolor-icon-theme_0.17-2_all.deb ... 143s Unpacking hicolor-icon-theme (0.17-2) ... 143s Selecting previously unselected package humanity-icon-theme. 143s Preparing to unpack .../016-humanity-icon-theme_0.6.16_all.deb ... 143s Unpacking humanity-icon-theme (0.6.16) ... 144s Selecting previously unselected package ubuntu-mono. 144s Preparing to unpack .../017-ubuntu-mono_24.04-0ubuntu1_all.deb ... 144s Unpacking ubuntu-mono (24.04-0ubuntu1) ... 145s Selecting previously unselected package adwaita-icon-theme. 145s Preparing to unpack .../018-adwaita-icon-theme_46~rc-1_all.deb ... 145s Unpacking adwaita-icon-theme (46~rc-1) ... 145s Selecting previously unselected package at-spi2-common. 145s Preparing to unpack .../019-at-spi2-common_2.50.0-1_all.deb ... 145s Unpacking at-spi2-common (2.50.0-1) ... 145s Selecting previously unselected package berkeley-abc. 145s Preparing to unpack .../020-berkeley-abc_1.01+20230625git01b1bd1+dfsg-3_arm64.deb ... 145s Unpacking berkeley-abc (1.01+20230625git01b1bd1+dfsg-3) ... 145s Selecting previously unselected package libdconf1:arm64. 145s Preparing to unpack .../021-libdconf1_0.40.0-4_arm64.deb ... 145s Unpacking libdconf1:arm64 (0.40.0-4) ... 145s Selecting previously unselected package dconf-service. 145s Preparing to unpack .../022-dconf-service_0.40.0-4_arm64.deb ... 145s Unpacking dconf-service (0.40.0-4) ... 145s Selecting previously unselected package dconf-gsettings-backend:arm64. 145s Preparing to unpack .../023-dconf-gsettings-backend_0.40.0-4_arm64.deb ... 145s Unpacking dconf-gsettings-backend:arm64 (0.40.0-4) ... 145s Selecting previously unselected package fonts-dejavu-mono. 145s Preparing to unpack .../024-fonts-dejavu-mono_2.37-8_all.deb ... 145s Unpacking fonts-dejavu-mono (2.37-8) ... 145s Selecting previously unselected package fonts-dejavu-core. 145s Preparing to unpack .../025-fonts-dejavu-core_2.37-8_all.deb ... 145s Unpacking fonts-dejavu-core (2.37-8) ... 145s Selecting previously unselected package fontconfig-config. 145s Preparing to unpack .../026-fontconfig-config_2.15.0-1ubuntu1_arm64.deb ... 145s Unpacking fontconfig-config (2.15.0-1ubuntu1) ... 145s Selecting previously unselected package libfontconfig1:arm64. 145s Preparing to unpack .../027-libfontconfig1_2.15.0-1ubuntu1_arm64.deb ... 145s Unpacking libfontconfig1:arm64 (2.15.0-1ubuntu1) ... 145s Selecting previously unselected package fontconfig. 145s Preparing to unpack .../028-fontconfig_2.15.0-1ubuntu1_arm64.deb ... 145s Unpacking fontconfig (2.15.0-1ubuntu1) ... 145s Selecting previously unselected package libatk1.0-0:arm64. 145s Preparing to unpack .../029-libatk1.0-0_2.50.0-1_arm64.deb ... 145s Unpacking libatk1.0-0:arm64 (2.50.0-1) ... 145s Selecting previously unselected package gir1.2-atk-1.0:arm64. 145s Preparing to unpack .../030-gir1.2-atk-1.0_2.50.0-1_arm64.deb ... 145s Unpacking gir1.2-atk-1.0:arm64 (2.50.0-1) ... 145s Selecting previously unselected package libpixman-1-0:arm64. 145s Preparing to unpack .../031-libpixman-1-0_0.42.2-1_arm64.deb ... 145s Unpacking libpixman-1-0:arm64 (0.42.2-1) ... 145s Selecting previously unselected package libxcb-render0:arm64. 145s Preparing to unpack .../032-libxcb-render0_1.15-1_arm64.deb ... 145s Unpacking libxcb-render0:arm64 (1.15-1) ... 145s Selecting previously unselected package libxcb-shm0:arm64. 145s Preparing to unpack .../033-libxcb-shm0_1.15-1_arm64.deb ... 145s Unpacking libxcb-shm0:arm64 (1.15-1) ... 145s Selecting previously unselected package libxrender1:arm64. 145s Preparing to unpack .../034-libxrender1_1%3a0.9.10-1.1_arm64.deb ... 145s Unpacking libxrender1:arm64 (1:0.9.10-1.1) ... 145s Selecting previously unselected package libcairo2:arm64. 145s Preparing to unpack .../035-libcairo2_1.18.0-1_arm64.deb ... 145s Unpacking libcairo2:arm64 (1.18.0-1) ... 146s Selecting previously unselected package libcairo-gobject2:arm64. 146s Preparing to unpack .../036-libcairo-gobject2_1.18.0-1_arm64.deb ... 146s Unpacking libcairo-gobject2:arm64 (1.18.0-1) ... 146s Selecting previously unselected package gir1.2-freedesktop:arm64. 146s Preparing to unpack .../037-gir1.2-freedesktop_1.79.1-1_arm64.deb ... 146s Unpacking gir1.2-freedesktop:arm64 (1.79.1-1) ... 146s Selecting previously unselected package gir1.2-gdkpixbuf-2.0:arm64. 146s Preparing to unpack .../038-gir1.2-gdkpixbuf-2.0_2.42.10+dfsg-3_arm64.deb ... 146s Unpacking gir1.2-gdkpixbuf-2.0:arm64 (2.42.10+dfsg-3) ... 146s Selecting previously unselected package libgraphite2-3:arm64. 146s Preparing to unpack .../039-libgraphite2-3_1.3.14-2_arm64.deb ... 146s Unpacking libgraphite2-3:arm64 (1.3.14-2) ... 146s Selecting previously unselected package libharfbuzz0b:arm64. 146s Preparing to unpack .../040-libharfbuzz0b_8.3.0-2_arm64.deb ... 146s Unpacking libharfbuzz0b:arm64 (8.3.0-2) ... 146s Selecting previously unselected package libharfbuzz-gobject0:arm64. 146s Preparing to unpack .../041-libharfbuzz-gobject0_8.3.0-2_arm64.deb ... 146s Unpacking libharfbuzz-gobject0:arm64 (8.3.0-2) ... 146s Selecting previously unselected package gir1.2-harfbuzz-0.0:arm64. 146s Preparing to unpack .../042-gir1.2-harfbuzz-0.0_8.3.0-2_arm64.deb ... 146s Unpacking gir1.2-harfbuzz-0.0:arm64 (8.3.0-2) ... 146s Selecting previously unselected package libthai-data. 146s Preparing to unpack .../043-libthai-data_0.1.29-2_all.deb ... 146s Unpacking libthai-data (0.1.29-2) ... 146s Selecting previously unselected package libdatrie1:arm64. 146s Preparing to unpack .../044-libdatrie1_0.2.13-3_arm64.deb ... 146s Unpacking libdatrie1:arm64 (0.2.13-3) ... 146s Selecting previously unselected package libthai0:arm64. 146s Preparing to unpack .../045-libthai0_0.1.29-2_arm64.deb ... 146s Unpacking libthai0:arm64 (0.1.29-2) ... 146s Selecting previously unselected package libpango-1.0-0:arm64. 146s Preparing to unpack .../046-libpango-1.0-0_1.51.0+ds-4_arm64.deb ... 146s Unpacking libpango-1.0-0:arm64 (1.51.0+ds-4) ... 146s Selecting previously unselected package libpangoft2-1.0-0:arm64. 146s Preparing to unpack .../047-libpangoft2-1.0-0_1.51.0+ds-4_arm64.deb ... 146s Unpacking libpangoft2-1.0-0:arm64 (1.51.0+ds-4) ... 146s Selecting previously unselected package libpangocairo-1.0-0:arm64. 146s Preparing to unpack .../048-libpangocairo-1.0-0_1.51.0+ds-4_arm64.deb ... 146s Unpacking libpangocairo-1.0-0:arm64 (1.51.0+ds-4) ... 146s Selecting previously unselected package libxft2:arm64. 146s Preparing to unpack .../049-libxft2_2.3.6-1_arm64.deb ... 146s Unpacking libxft2:arm64 (2.3.6-1) ... 146s Selecting previously unselected package libpangoxft-1.0-0:arm64. 146s Preparing to unpack .../050-libpangoxft-1.0-0_1.51.0+ds-4_arm64.deb ... 146s Unpacking libpangoxft-1.0-0:arm64 (1.51.0+ds-4) ... 146s Selecting previously unselected package gir1.2-pango-1.0:arm64. 146s Preparing to unpack .../051-gir1.2-pango-1.0_1.51.0+ds-4_arm64.deb ... 146s Unpacking gir1.2-pango-1.0:arm64 (1.51.0+ds-4) ... 146s Selecting previously unselected package libxi6:arm64. 146s Preparing to unpack .../052-libxi6_2%3a1.8.1-1_arm64.deb ... 146s Unpacking libxi6:arm64 (2:1.8.1-1) ... 146s Selecting previously unselected package libatspi2.0-0:arm64. 146s Preparing to unpack .../053-libatspi2.0-0_2.50.0-1_arm64.deb ... 146s Unpacking libatspi2.0-0:arm64 (2.50.0-1) ... 146s Selecting previously unselected package libatk-bridge2.0-0:arm64. 146s Preparing to unpack .../054-libatk-bridge2.0-0_2.50.0-1_arm64.deb ... 146s Unpacking libatk-bridge2.0-0:arm64 (2.50.0-1) ... 146s Selecting previously unselected package liblcms2-2:arm64. 146s Preparing to unpack .../055-liblcms2-2_2.14-2_arm64.deb ... 146s Unpacking liblcms2-2:arm64 (2.14-2) ... 146s Selecting previously unselected package libcolord2:arm64. 146s Preparing to unpack .../056-libcolord2_1.4.7-1_arm64.deb ... 146s Unpacking libcolord2:arm64 (1.4.7-1) ... 146s Selecting previously unselected package libavahi-common-data:arm64. 146s Preparing to unpack .../057-libavahi-common-data_0.8-13ubuntu2_arm64.deb ... 146s Unpacking libavahi-common-data:arm64 (0.8-13ubuntu2) ... 146s Selecting previously unselected package libavahi-common3:arm64. 146s Preparing to unpack .../058-libavahi-common3_0.8-13ubuntu2_arm64.deb ... 146s Unpacking libavahi-common3:arm64 (0.8-13ubuntu2) ... 146s Selecting previously unselected package libavahi-client3:arm64. 146s Preparing to unpack .../059-libavahi-client3_0.8-13ubuntu2_arm64.deb ... 146s Unpacking libavahi-client3:arm64 (0.8-13ubuntu2) ... 146s Selecting previously unselected package libcups2:arm64. 146s Preparing to unpack .../060-libcups2_2.4.6-0ubuntu3_arm64.deb ... 146s Unpacking libcups2:arm64 (2.4.6-0ubuntu3) ... 146s Selecting previously unselected package libepoxy0:arm64. 146s Preparing to unpack .../061-libepoxy0_1.5.10-1_arm64.deb ... 146s Unpacking libepoxy0:arm64 (1.5.10-1) ... 146s Selecting previously unselected package libwayland-client0:arm64. 146s Preparing to unpack .../062-libwayland-client0_1.22.0-2.1_arm64.deb ... 146s Unpacking libwayland-client0:arm64 (1.22.0-2.1) ... 146s Selecting previously unselected package libwayland-cursor0:arm64. 146s Preparing to unpack .../063-libwayland-cursor0_1.22.0-2.1_arm64.deb ... 146s Unpacking libwayland-cursor0:arm64 (1.22.0-2.1) ... 146s Selecting previously unselected package libwayland-egl1:arm64. 146s Preparing to unpack .../064-libwayland-egl1_1.22.0-2.1_arm64.deb ... 146s Unpacking libwayland-egl1:arm64 (1.22.0-2.1) ... 146s Selecting previously unselected package libxcomposite1:arm64. 146s Preparing to unpack .../065-libxcomposite1_1%3a0.4.5-1build2_arm64.deb ... 146s Unpacking libxcomposite1:arm64 (1:0.4.5-1build2) ... 146s Selecting previously unselected package libxfixes3:arm64. 146s Preparing to unpack .../066-libxfixes3_1%3a6.0.0-2_arm64.deb ... 146s Unpacking libxfixes3:arm64 (1:6.0.0-2) ... 146s Selecting previously unselected package libxcursor1:arm64. 146s Preparing to unpack .../067-libxcursor1_1%3a1.2.1-1_arm64.deb ... 146s Unpacking libxcursor1:arm64 (1:1.2.1-1) ... 146s Selecting previously unselected package libxdamage1:arm64. 146s Preparing to unpack .../068-libxdamage1_1%3a1.1.6-1_arm64.deb ... 146s Unpacking libxdamage1:arm64 (1:1.1.6-1) ... 146s Selecting previously unselected package libxinerama1:arm64. 147s Preparing to unpack .../069-libxinerama1_2%3a1.1.4-3_arm64.deb ... 147s Unpacking libxinerama1:arm64 (2:1.1.4-3) ... 147s Selecting previously unselected package libxrandr2:arm64. 147s Preparing to unpack .../070-libxrandr2_2%3a1.5.2-2_arm64.deb ... 147s Unpacking libxrandr2:arm64 (2:1.5.2-2) ... 147s Selecting previously unselected package libgtk-3-common. 147s Preparing to unpack .../071-libgtk-3-common_3.24.40-2ubuntu1_all.deb ... 147s Unpacking libgtk-3-common (3.24.40-2ubuntu1) ... 147s Selecting previously unselected package libgtk-3-0:arm64. 147s Preparing to unpack .../072-libgtk-3-0_3.24.40-2ubuntu1_arm64.deb ... 147s Unpacking libgtk-3-0:arm64 (3.24.40-2ubuntu1) ... 147s Selecting previously unselected package gir1.2-gtk-3.0:arm64. 147s Preparing to unpack .../073-gir1.2-gtk-3.0_3.24.40-2ubuntu1_arm64.deb ... 147s Unpacking gir1.2-gtk-3.0:arm64 (3.24.40-2ubuntu1) ... 147s Selecting previously unselected package libann0. 147s Preparing to unpack .../074-libann0_1.1.2+doc-9_arm64.deb ... 147s Unpacking libann0 (1.1.2+doc-9) ... 147s Selecting previously unselected package libcdt5:arm64. 147s Preparing to unpack .../075-libcdt5_2.42.2-8build1_arm64.deb ... 147s Unpacking libcdt5:arm64 (2.42.2-8build1) ... 147s Selecting previously unselected package libcgraph6:arm64. 147s Preparing to unpack .../076-libcgraph6_2.42.2-8build1_arm64.deb ... 147s Unpacking libcgraph6:arm64 (2.42.2-8build1) ... 147s Selecting previously unselected package libxpm4:arm64. 147s Preparing to unpack .../077-libxpm4_1%3a3.5.17-1_arm64.deb ... 147s Unpacking libxpm4:arm64 (1:3.5.17-1) ... 147s Selecting previously unselected package libgd3:arm64. 147s Preparing to unpack .../078-libgd3_2.3.3-9ubuntu1_arm64.deb ... 147s Unpacking libgd3:arm64 (2.3.3-9ubuntu1) ... 147s Selecting previously unselected package libgts-0.7-5:arm64. 147s Preparing to unpack .../079-libgts-0.7-5_0.7.6+darcs121130-5_arm64.deb ... 147s Unpacking libgts-0.7-5:arm64 (0.7.6+darcs121130-5) ... 147s Selecting previously unselected package libltdl7:arm64. 147s Preparing to unpack .../080-libltdl7_2.4.7-7_arm64.deb ... 147s Unpacking libltdl7:arm64 (2.4.7-7) ... 147s Selecting previously unselected package libpathplan4:arm64. 147s Preparing to unpack .../081-libpathplan4_2.42.2-8build1_arm64.deb ... 147s Unpacking libpathplan4:arm64 (2.42.2-8build1) ... 147s Selecting previously unselected package libgvc6. 147s Preparing to unpack .../082-libgvc6_2.42.2-8build1_arm64.deb ... 147s Unpacking libgvc6 (2.42.2-8build1) ... 147s Selecting previously unselected package libgvpr2:arm64. 147s Preparing to unpack .../083-libgvpr2_2.42.2-8build1_arm64.deb ... 147s Unpacking libgvpr2:arm64 (2.42.2-8build1) ... 147s Selecting previously unselected package liblab-gamut1:arm64. 147s Preparing to unpack .../084-liblab-gamut1_2.42.2-8build1_arm64.deb ... 147s Unpacking liblab-gamut1:arm64 (2.42.2-8build1) ... 147s Selecting previously unselected package x11-common. 147s Preparing to unpack .../085-x11-common_1%3a7.7+23ubuntu2_all.deb ... 147s Unpacking x11-common (1:7.7+23ubuntu2) ... 147s Selecting previously unselected package libice6:arm64. 147s Preparing to unpack .../086-libice6_2%3a1.0.10-1build2_arm64.deb ... 147s Unpacking libice6:arm64 (2:1.0.10-1build2) ... 147s Selecting previously unselected package libsm6:arm64. 147s Preparing to unpack .../087-libsm6_2%3a1.2.3-1build2_arm64.deb ... 147s Unpacking libsm6:arm64 (2:1.2.3-1build2) ... 147s Selecting previously unselected package libxt6:arm64. 147s Preparing to unpack .../088-libxt6_1%3a1.2.1-1.1_arm64.deb ... 147s Unpacking libxt6:arm64 (1:1.2.1-1.1) ... 147s Selecting previously unselected package libxmu6:arm64. 147s Preparing to unpack .../089-libxmu6_2%3a1.1.3-3_arm64.deb ... 147s Unpacking libxmu6:arm64 (2:1.1.3-3) ... 147s Selecting previously unselected package libxaw7:arm64. 147s Preparing to unpack .../090-libxaw7_2%3a1.0.14-1_arm64.deb ... 147s Unpacking libxaw7:arm64 (2:1.0.14-1) ... 147s Selecting previously unselected package graphviz. 147s Preparing to unpack .../091-graphviz_2.42.2-8build1_arm64.deb ... 147s Unpacking graphviz (2.42.2-8build1) ... 147s Selecting previously unselected package libblas3:arm64. 147s Preparing to unpack .../092-libblas3_3.12.0-3_arm64.deb ... 147s Unpacking libblas3:arm64 (3.12.0-3) ... 147s Selecting previously unselected package libc-dev-bin. 147s Preparing to unpack .../093-libc-dev-bin_2.39-0ubuntu6_arm64.deb ... 147s Unpacking libc-dev-bin (2.39-0ubuntu6) ... 147s Selecting previously unselected package linux-libc-dev:arm64. 148s Preparing to unpack .../094-linux-libc-dev_6.8.0-11.11_arm64.deb ... 148s Unpacking linux-libc-dev:arm64 (6.8.0-11.11) ... 148s Selecting previously unselected package libcrypt-dev:arm64. 148s Preparing to unpack .../095-libcrypt-dev_1%3a4.4.36-4_arm64.deb ... 148s Unpacking libcrypt-dev:arm64 (1:4.4.36-4) ... 148s Selecting previously unselected package rpcsvc-proto. 148s Preparing to unpack .../096-rpcsvc-proto_1.4.2-0ubuntu6_arm64.deb ... 148s Unpacking rpcsvc-proto (1.4.2-0ubuntu6) ... 148s Selecting previously unselected package libc6-dev:arm64. 148s Preparing to unpack .../097-libc6-dev_2.39-0ubuntu6_arm64.deb ... 148s Unpacking libc6-dev:arm64 (2.39-0ubuntu6) ... 148s Selecting previously unselected package libgfortran5:arm64. 148s Preparing to unpack .../098-libgfortran5_14-20240303-1ubuntu1_arm64.deb ... 148s Unpacking libgfortran5:arm64 (14-20240303-1ubuntu1) ... 148s Selecting previously unselected package liblapack3:arm64. 148s Preparing to unpack .../099-liblapack3_3.12.0-3_arm64.deb ... 148s Unpacking liblapack3:arm64 (3.12.0-3) ... 148s Selecting previously unselected package libncurses-dev:arm64. 148s Preparing to unpack .../100-libncurses-dev_6.4+20240113-1ubuntu1_arm64.deb ... 148s Unpacking libncurses-dev:arm64 (6.4+20240113-1ubuntu1) ... 148s Selecting previously unselected package libreadline-dev:arm64. 148s Preparing to unpack .../101-libreadline-dev_8.2-3_arm64.deb ... 148s Unpacking libreadline-dev:arm64 (8.2-3) ... 148s Selecting previously unselected package libtcl8.6:arm64. 148s Preparing to unpack .../102-libtcl8.6_8.6.13+dfsg-2_arm64.deb ... 148s Unpacking libtcl8.6:arm64 (8.6.13+dfsg-2) ... 148s Selecting previously unselected package python3-cairo. 148s Preparing to unpack .../103-python3-cairo_1.25.1-2_arm64.deb ... 148s Unpacking python3-cairo (1.25.1-2) ... 148s Selecting previously unselected package python3-colorama. 148s Preparing to unpack .../104-python3-colorama_0.4.6-4_all.deb ... 148s Unpacking python3-colorama (0.4.6-4) ... 148s Selecting previously unselected package python3-click. 148s Preparing to unpack .../105-python3-click_8.1.6-1_all.deb ... 148s Unpacking python3-click (8.1.6-1) ... 148s Selecting previously unselected package python3-gi-cairo. 148s Preparing to unpack .../106-python3-gi-cairo_3.47.0-3_arm64.deb ... 148s Unpacking python3-gi-cairo (3.47.0-3) ... 148s Selecting previously unselected package python3-numpy. 148s Preparing to unpack .../107-python3-numpy_1%3a1.24.2-2_arm64.deb ... 148s Unpacking python3-numpy (1:1.24.2-2) ... 149s Selecting previously unselected package tcl8.6. 149s Preparing to unpack .../108-tcl8.6_8.6.13+dfsg-2_arm64.deb ... 149s Unpacking tcl8.6 (8.6.13+dfsg-2) ... 149s Selecting previously unselected package tcl. 149s Preparing to unpack .../109-tcl_8.6.13_arm64.deb ... 149s Unpacking tcl (8.6.13) ... 149s Selecting previously unselected package zlib1g-dev:arm64. 149s Preparing to unpack .../110-zlib1g-dev_1%3a1.3.dfsg-3ubuntu1_arm64.deb ... 149s Unpacking zlib1g-dev:arm64 (1:1.3.dfsg-3ubuntu1) ... 149s Selecting previously unselected package tcl8.6-dev:arm64. 149s Preparing to unpack .../111-tcl8.6-dev_8.6.13+dfsg-2_arm64.deb ... 149s Unpacking tcl8.6-dev:arm64 (8.6.13+dfsg-2) ... 149s Selecting previously unselected package tcl-dev:arm64. 149s Preparing to unpack .../112-tcl-dev_8.6.13_arm64.deb ... 149s Unpacking tcl-dev:arm64 (8.6.13) ... 149s Selecting previously unselected package xdot. 149s Preparing to unpack .../113-xdot_1.3-1_all.deb ... 149s Unpacking xdot (1.3-1) ... 149s Selecting previously unselected package yosys. 149s Preparing to unpack .../114-yosys_0.23-6_arm64.deb ... 149s Unpacking yosys (0.23-6) ... 149s Selecting previously unselected package libffi-dev:arm64. 149s Preparing to unpack .../115-libffi-dev_3.4.6-1_arm64.deb ... 149s Unpacking libffi-dev:arm64 (3.4.6-1) ... 149s Selecting previously unselected package yosys-dev. 149s Preparing to unpack .../116-yosys-dev_0.23-6_arm64.deb ... 149s Unpacking yosys-dev (0.23-6) ... 149s Selecting previously unselected package yosys-doc. 149s Preparing to unpack .../117-yosys-doc_0.23-6_all.deb ... 149s Unpacking yosys-doc (0.23-6) ... 149s Selecting previously unselected package autopkgtest-satdep. 149s Preparing to unpack .../118-1-autopkgtest-satdep.deb ... 149s Unpacking autopkgtest-satdep (0) ... 149s Setting up libgraphite2-3:arm64 (1.3.14-2) ... 149s Setting up liblcms2-2:arm64 (2.14-2) ... 149s Setting up libpixman-1-0:arm64 (0.42.2-1) ... 149s Setting up libsharpyuv0:arm64 (1.3.2-0.4) ... 149s Setting up libxdamage1:arm64 (1:1.1.6-1) ... 149s Setting up liblerc4:arm64 (4.0.0+ds-4ubuntu1) ... 149s Setting up libxpm4:arm64 (1:3.5.17-1) ... 149s Setting up hicolor-icon-theme (0.17-2) ... 149s Setting up libxi6:arm64 (2:1.8.1-1) ... 149s Setting up libxrender1:arm64 (1:0.9.10-1.1) ... 149s Setting up libdatrie1:arm64 (0.2.13-3) ... 149s Setting up python3-colorama (0.4.6-4) ... 149s Setting up libxcb-render0:arm64 (1.15-1) ... 149s Setting up liblab-gamut1:arm64 (2.42.2-8build1) ... 149s Setting up libgdk-pixbuf2.0-common (2.42.10+dfsg-3) ... 149s Setting up x11-common (1:7.7+23ubuntu2) ... 150s Setting up libdeflate0:arm64 (1.19-1) ... 150s Setting up linux-libc-dev:arm64 (6.8.0-11.11) ... 150s Setting up yosys-doc (0.23-6) ... 150s Setting up libxcb-shm0:arm64 (1.15-1) ... 150s Setting up libatspi2.0-0:arm64 (2.50.0-1) ... 150s Setting up python3-click (8.1.6-1) ... 150s Setting up libffi-dev:arm64 (3.4.6-1) ... 150s Setting up libjbig0:arm64 (2.1-6.1ubuntu1) ... 150s Setting up libcolord2:arm64 (1.4.7-1) ... 150s Setting up berkeley-abc (1.01+20230625git01b1bd1+dfsg-3) ... 150s Setting up libdconf1:arm64 (0.40.0-4) ... 150s Setting up libgts-0.7-5:arm64 (0.7.6+darcs121130-5) ... 150s Setting up libblas3:arm64 (3.12.0-3) ... 150s update-alternatives: using /usr/lib/aarch64-linux-gnu/blas/libblas.so.3 to provide /usr/lib/aarch64-linux-gnu/libblas.so.3 (libblas.so.3-aarch64-linux-gnu) in auto mode 150s Setting up rpcsvc-proto (1.4.2-0ubuntu6) ... 150s Setting up libepoxy0:arm64 (1.5.10-1) ... 150s Setting up libxfixes3:arm64 (1:6.0.0-2) ... 150s Setting up libpathplan4:arm64 (2.42.2-8build1) ... 150s Setting up libavahi-common-data:arm64 (0.8-13ubuntu2) ... 150s Setting up libann0 (1.1.2+doc-9) ... 150s Setting up libxinerama1:arm64 (2:1.1.4-3) ... 150s Setting up fonts-dejavu-mono (2.37-8) ... 150s Setting up libxrandr2:arm64 (2:1.5.2-2) ... 150s Setting up libtcl8.6:arm64 (8.6.13+dfsg-2) ... 150s Setting up fonts-dejavu-core (2.37-8) ... 150s Setting up libjpeg-turbo8:arm64 (2.1.5-2ubuntu1) ... 150s Setting up libltdl7:arm64 (2.4.7-7) ... 150s Setting up libgfortran5:arm64 (14-20240303-1ubuntu1) ... 150s Setting up libwebp7:arm64 (1.3.2-0.4) ... 150s Setting up at-spi2-common (2.50.0-1) ... 150s Setting up libcrypt-dev:arm64 (1:4.4.36-4) ... 150s Setting up libharfbuzz0b:arm64 (8.3.0-2) ... 150s Setting up libthai-data (0.1.29-2) ... 150s Setting up libcdt5:arm64 (2.42.2-8build1) ... 150s Setting up libatk1.0-0:arm64 (2.50.0-1) ... 150s Setting up libcgraph6:arm64 (2.42.2-8build1) ... 150s Setting up libpython3.11-minimal:arm64 (3.11.8-1) ... 150s Setting up libwayland-egl1:arm64 (1.22.0-2.1) ... 150s Setting up libc-dev-bin (2.39-0ubuntu6) ... 150s Setting up libxcomposite1:arm64 (1:0.4.5-1build2) ... 150s Setting up libwayland-client0:arm64 (1.22.0-2.1) ... 150s Setting up libjpeg8:arm64 (8c-2ubuntu11) ... 150s Setting up python3.11-minimal (3.11.8-1) ... 151s Setting up libice6:arm64 (2:1.0.10-1build2) ... 151s Setting up tcl8.6 (8.6.13+dfsg-2) ... 151s Setting up liblapack3:arm64 (3.12.0-3) ... 151s update-alternatives: using /usr/lib/aarch64-linux-gnu/lapack/liblapack.so.3 to provide /usr/lib/aarch64-linux-gnu/liblapack.so.3 (liblapack.so.3-aarch64-linux-gnu) in auto mode 151s Setting up gir1.2-atk-1.0:arm64 (2.50.0-1) ... 151s Setting up fontconfig-config (2.15.0-1ubuntu1) ... 151s Setting up libpython3.11-stdlib:arm64 (3.11.8-1) ... 151s Setting up libxcursor1:arm64 (1:1.2.1-1) ... 151s Setting up libavahi-common3:arm64 (0.8-13ubuntu2) ... 151s Setting up dconf-service (0.40.0-4) ... 151s Setting up libharfbuzz-gobject0:arm64 (8.3.0-2) ... 151s Setting up libatk-bridge2.0-0:arm64 (2.50.0-1) ... 151s Setting up libthai0:arm64 (0.1.29-2) ... 151s Setting up libgvpr2:arm64 (2.42.2-8build1) ... 151s Setting up libtiff6:arm64 (4.5.1+git230720-3ubuntu1) ... 151s Setting up libwayland-cursor0:arm64 (1.22.0-2.1) ... 151s Setting up tcl (8.6.13) ... 151s Setting up libc6-dev:arm64 (2.39-0ubuntu6) ... 151s Setting up libgdk-pixbuf-2.0-0:arm64 (2.42.10+dfsg-3) ... 151s Setting up libfontconfig1:arm64 (2.15.0-1ubuntu1) ... 151s Setting up libsm6:arm64 (2:1.2.3-1build2) ... 151s Setting up libavahi-client3:arm64 (0.8-13ubuntu2) ... 151s Setting up gtk-update-icon-cache (3.24.40-2ubuntu1) ... 151s Setting up python3.11 (3.11.8-1) ... 152s Setting up fontconfig (2.15.0-1ubuntu1) ... 154s Regenerating fonts cache... done. 154s Setting up libxft2:arm64 (2.3.6-1) ... 154s Setting up libncurses-dev:arm64 (6.4+20240113-1ubuntu1) ... 154s Setting up dconf-gsettings-backend:arm64 (0.40.0-4) ... 154s Setting up gir1.2-gdkpixbuf-2.0:arm64 (2.42.10+dfsg-3) ... 154s Setting up libpango-1.0-0:arm64 (1.51.0+ds-4) ... 154s Setting up libreadline-dev:arm64 (8.2-3) ... 154s Setting up libcairo2:arm64 (1.18.0-1) ... 154s Setting up libgd3:arm64 (2.3.3-9ubuntu1) ... 154s Setting up libxt6:arm64 (1:1.2.1-1.1) ... 154s Setting up python3-numpy (1:1.24.2-2) ... 156s Setting up libcups2:arm64 (2.4.6-0ubuntu3) ... 156s Setting up zlib1g-dev:arm64 (1:1.3.dfsg-3ubuntu1) ... 156s Setting up libcairo-gobject2:arm64 (1.18.0-1) ... 156s Setting up libpangoft2-1.0-0:arm64 (1.51.0+ds-4) ... 156s Setting up libgtk-3-common (3.24.40-2ubuntu1) ... 156s Setting up libpangocairo-1.0-0:arm64 (1.51.0+ds-4) ... 156s Setting up libxmu6:arm64 (2:1.1.3-3) ... 156s Setting up gir1.2-freedesktop:arm64 (1.79.1-1) ... 156s Setting up python3-cairo (1.25.1-2) ... 156s Setting up libpangoxft-1.0-0:arm64 (1.51.0+ds-4) ... 156s Setting up tcl8.6-dev:arm64 (8.6.13+dfsg-2) ... 156s Setting up libxaw7:arm64 (2:1.0.14-1) ... 156s Setting up gir1.2-harfbuzz-0.0:arm64 (8.3.0-2) ... 156s Setting up gir1.2-pango-1.0:arm64 (1.51.0+ds-4) ... 156s Setting up libgvc6 (2.42.2-8build1) ... 156s Setting up tcl-dev:arm64 (8.6.13) ... 156s Setting up python3-gi-cairo (3.47.0-3) ... 156s Setting up yosys-dev (0.23-6) ... 156s Setting up graphviz (2.42.2-8build1) ... 156s Setting up adwaita-icon-theme (46~rc-1) ... 156s update-alternatives: using /usr/share/icons/Adwaita/cursor.theme to provide /usr/share/icons/default/index.theme (x-cursor-theme) in auto mode 156s Setting up humanity-icon-theme (0.6.16) ... 156s Setting up ubuntu-mono (24.04-0ubuntu1) ... 156s Processing triggers for systemd (255.2-3ubuntu2) ... 156s Processing triggers for man-db (2.12.0-3) ... 157s Processing triggers for install-info (7.1-3) ... 157s Processing triggers for libglib2.0-0:arm64 (2.79.2-1~ubuntu1) ... 157s Setting up libgtk-3-0:arm64 (3.24.40-2ubuntu1) ... 157s Processing triggers for libc-bin (2.39-0ubuntu6) ... 157s Setting up gir1.2-gtk-3.0:arm64 (3.24.40-2ubuntu1) ... 157s Setting up xdot (1.3-1) ... 157s Setting up yosys (0.23-6) ... 157s /usr/share/yosys/smtio.py:771: SyntaxWarning: invalid escape sequence '\|' 157s s = "/-\|" 157s /usr/share/yosys/smtio.py:1174: SyntaxWarning: invalid escape sequence '\[' 157s if re.match("[\[\]]", name) and name[0] != "\\": 157s Setting up autopkgtest-satdep (0) ... 164s (Reading database ... 92033 files and directories currently installed.) 164s Removing autopkgtest-satdep (0) ... 165s autopkgtest [03:17:36]: test ice: [----------------------- 165s 165s /----------------------------------------------------------------------------\ 165s | | 165s | yosys -- Yosys Open SYnthesis Suite | 165s | | 165s | Copyright (C) 2012 - 2020 Claire Xenia Wolf | 165s | | 165s | Permission to use, copy, modify, and/or distribute this software for any | 165s | purpose with or without fee is hereby granted, provided that the above | 165s | copyright notice and this permission notice appear in all copies. | 165s | | 165s | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | 165s | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | 165s | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | 165s | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | 165s | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | 165s | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | 165s | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | 165s | | 165s \----------------------------------------------------------------------------/ 165s 165s Yosys 0.23 (git sha1 7ce5011c24b) 165s 165s 165s -- Running command `read_verilog debian/tests/design_ice.v; synth_ice40 -blif /tmp/autopkgtest.Azol3b/autopkgtest_tmp/design_ice.blif' -- 165s 165s 1. Executing Verilog-2005 frontend: debian/tests/design_ice.v 165s Parsing Verilog input from `debian/tests/design_ice.v' to AST representation. 165s Generating RTLIL representation for module `\design_ice'. 165s Successfully finished Verilog frontend. 165s 165s 2. Executing SYNTH_ICE40 pass. 165s 165s 2.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/cells_sim.v 165s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/cells_sim.v' to AST representation. 165s Generating RTLIL representation for module `\SB_IO'. 165s Generating RTLIL representation for module `\SB_GB_IO'. 165s Generating RTLIL representation for module `\SB_GB'. 165s Generating RTLIL representation for module `\SB_LUT4'. 165s Generating RTLIL representation for module `\SB_CARRY'. 165s Generating RTLIL representation for module `\SB_DFF'. 165s Generating RTLIL representation for module `\SB_DFFE'. 165s Generating RTLIL representation for module `\SB_DFFSR'. 165s Generating RTLIL representation for module `\SB_DFFR'. 165s Generating RTLIL representation for module `\SB_DFFSS'. 165s Generating RTLIL representation for module `\SB_DFFS'. 165s Generating RTLIL representation for module `\SB_DFFESR'. 165s Generating RTLIL representation for module `\SB_DFFER'. 165s Generating RTLIL representation for module `\SB_DFFESS'. 165s Generating RTLIL representation for module `\SB_DFFES'. 165s Generating RTLIL representation for module `\SB_DFFN'. 165s Generating RTLIL representation for module `\SB_DFFNE'. 165s Generating RTLIL representation for module `\SB_DFFNSR'. 165s Generating RTLIL representation for module `\SB_DFFNR'. 165s Generating RTLIL representation for module `\SB_DFFNSS'. 165s Generating RTLIL representation for module `\SB_DFFNS'. 165s Generating RTLIL representation for module `\SB_DFFNESR'. 165s Generating RTLIL representation for module `\SB_DFFNER'. 165s Generating RTLIL representation for module `\SB_DFFNESS'. 165s Generating RTLIL representation for module `\SB_DFFNES'. 165s Generating RTLIL representation for module `\SB_RAM40_4K'. 165s Generating RTLIL representation for module `\SB_RAM40_4KNR'. 165s Generating RTLIL representation for module `\SB_RAM40_4KNW'. 165s Generating RTLIL representation for module `\SB_RAM40_4KNRNW'. 165s Generating RTLIL representation for module `\ICESTORM_LC'. 165s Generating RTLIL representation for module `\SB_PLL40_CORE'. 165s Generating RTLIL representation for module `\SB_PLL40_PAD'. 165s Generating RTLIL representation for module `\SB_PLL40_2_PAD'. 165s Generating RTLIL representation for module `\SB_PLL40_2F_CORE'. 165s Generating RTLIL representation for module `\SB_PLL40_2F_PAD'. 165s Generating RTLIL representation for module `\SB_WARMBOOT'. 165s Generating RTLIL representation for module `\SB_SPRAM256KA'. 165s Generating RTLIL representation for module `\SB_HFOSC'. 165s Generating RTLIL representation for module `\SB_LFOSC'. 165s Generating RTLIL representation for module `\SB_RGBA_DRV'. 165s Generating RTLIL representation for module `\SB_LED_DRV_CUR'. 165s Generating RTLIL representation for module `\SB_RGB_DRV'. 165s Generating RTLIL representation for module `\SB_I2C'. 165s Generating RTLIL representation for module `\SB_SPI'. 165s Generating RTLIL representation for module `\SB_LEDDA_IP'. 165s Generating RTLIL representation for module `\SB_FILTER_50NS'. 165s Generating RTLIL representation for module `\SB_IO_I3C'. 165s Generating RTLIL representation for module `\SB_IO_OD'. 165s Generating RTLIL representation for module `\SB_MAC16'. 165s Generating RTLIL representation for module `\ICESTORM_RAM'. 165s Successfully finished Verilog frontend. 165s 165s 2.2. Executing HIERARCHY pass (managing design hierarchy). 165s 165s 2.2.1. Finding top of design hierarchy.. 165s root of 0 design levels: design_ice 165s Automatically selected design_ice as design top module. 165s 165s 2.2.2. Analyzing design hierarchy.. 165s Top module: \design_ice 165s 165s 2.2.3. Analyzing design hierarchy.. 165s Top module: \design_ice 165s Removed 0 unused modules. 165s 165s 2.3. Executing PROC pass (convert processes to netlists). 165s 165s 2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). 165s Cleaned up 0 empty switches. 165s 165s 2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243 in module SB_DFFNES. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236 in module SB_DFFNESS. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232 in module SB_DFFNER. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225 in module SB_DFFNESR. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222 in module SB_DFFNS. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219 in module SB_DFFNSS. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216 in module SB_DFFNR. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213 in module SB_DFFNSR. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205 in module SB_DFFES. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198 in module SB_DFFESS. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194 in module SB_DFFER. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187 in module SB_DFFESR. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184 in module SB_DFFS. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181 in module SB_DFFSS. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178 in module SB_DFFR. 165s Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175 in module SB_DFFSR. 165s Marked 1 switch rules as full_case in process $proc$debian/tests/design_ice.v:6$1 in module design_ice. 165s Removed a total of 0 dead cases. 165s 165s 2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). 165s Removed 8 redundant assignments. 165s Promoted 23 assignments to connections. 165s 165s 2.3.4. Executing PROC_INIT pass (extract init attributes). 165s Found init rule in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$246'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$242'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$235'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$231'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$224'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$221'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$218'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$215'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$212'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$210'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$208'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$204'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$197'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$193'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$186'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$183'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$180'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$177'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$174'. 165s Set init value: \Q = 1'0 165s Found init rule in `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$172'. 165s Set init value: \Q = 1'0 165s Found init rule in `\design_ice.$proc$debian/tests/design_ice.v:3$2'. 165s Set init value: \ready = 1'0 165s 165s 2.3.5. Executing PROC_ARST pass (detect async resets in processes). 165s Found async reset \S in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 165s Found async reset \R in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 165s Found async reset \S in `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 165s Found async reset \R in `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 165s Found async reset \S in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 165s Found async reset \R in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 165s Found async reset \S in `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 165s Found async reset \R in `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 165s 165s 2.3.6. Executing PROC_ROM pass (convert switches to ROMs). 165s Converted 0 switches. 165s 165s 165s 2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 165s Creating decoders for process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$246'. 165s Creating decoders for process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$242'. 165s Creating decoders for process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$235'. 165s Creating decoders for process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$231'. 165s Creating decoders for process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$224'. 165s Creating decoders for process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$221'. 165s Creating decoders for process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$218'. 165s Creating decoders for process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$215'. 165s Creating decoders for process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$212'. 165s Creating decoders for process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$210'. 165s Creating decoders for process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$209'. 165s Creating decoders for process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$208'. 165s Creating decoders for process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$204'. 165s Creating decoders for process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$197'. 165s Creating decoders for process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$193'. 165s Creating decoders for process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$186'. 165s Creating decoders for process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$183'. 165s Creating decoders for process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$180'. 165s Creating decoders for process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$177'. 165s Creating decoders for process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$174'. 165s Creating decoders for process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 165s 1/1: $0\Q[0:0] 165s Creating decoders for process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$172'. 165s Creating decoders for process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$171'. 165s Creating decoders for process `\design_ice.$proc$debian/tests/design_ice.v:3$2'. 165s Creating decoders for process `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 165s 1/2: $0\value[0:0] 165s 2/2: $0\ready[0:0] 165s 165s 2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 165s 165s 2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 165s Creating register for signal `\SB_DFFNES.\Q' using process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 165s created $adff cell `$procdff$433' with negative edge clock and positive level reset. 165s Creating register for signal `\SB_DFFNESS.\Q' using process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 165s created $dff cell `$procdff$434' with negative edge clock. 165s Creating register for signal `\SB_DFFNER.\Q' using process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 165s created $adff cell `$procdff$435' with negative edge clock and positive level reset. 165s Creating register for signal `\SB_DFFNESR.\Q' using process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 165s created $dff cell `$procdff$436' with negative edge clock. 165s Creating register for signal `\SB_DFFNS.\Q' using process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 165s created $adff cell `$procdff$437' with negative edge clock and positive level reset. 165s Creating register for signal `\SB_DFFNSS.\Q' using process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 165s created $dff cell `$procdff$438' with negative edge clock. 165s Creating register for signal `\SB_DFFNR.\Q' using process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 165s created $adff cell `$procdff$439' with negative edge clock and positive level reset. 165s Creating register for signal `\SB_DFFNSR.\Q' using process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 165s created $dff cell `$procdff$440' with negative edge clock. 165s Creating register for signal `\SB_DFFNE.\Q' using process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 165s created $dff cell `$procdff$441' with negative edge clock. 165s Creating register for signal `\SB_DFFN.\Q' using process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$209'. 165s created $dff cell `$procdff$442' with negative edge clock. 165s Creating register for signal `\SB_DFFES.\Q' using process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 165s created $adff cell `$procdff$443' with positive edge clock and positive level reset. 165s Creating register for signal `\SB_DFFESS.\Q' using process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 165s created $dff cell `$procdff$444' with positive edge clock. 165s Creating register for signal `\SB_DFFER.\Q' using process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 165s created $adff cell `$procdff$445' with positive edge clock and positive level reset. 165s Creating register for signal `\SB_DFFESR.\Q' using process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 165s created $dff cell `$procdff$446' with positive edge clock. 165s Creating register for signal `\SB_DFFS.\Q' using process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 165s created $adff cell `$procdff$447' with positive edge clock and positive level reset. 165s Creating register for signal `\SB_DFFSS.\Q' using process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 165s created $dff cell `$procdff$448' with positive edge clock. 165s Creating register for signal `\SB_DFFR.\Q' using process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 165s created $adff cell `$procdff$449' with positive edge clock and positive level reset. 165s Creating register for signal `\SB_DFFSR.\Q' using process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 165s created $dff cell `$procdff$450' with positive edge clock. 165s Creating register for signal `\SB_DFFE.\Q' using process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 165s created $dff cell `$procdff$451' with positive edge clock. 165s Creating register for signal `\SB_DFF.\Q' using process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$171'. 165s created $dff cell `$procdff$452' with positive edge clock. 165s Creating register for signal `\design_ice.\ready' using process `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 165s created $dff cell `$procdff$453' with positive edge clock. 165s Creating register for signal `\design_ice.\value' using process `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 165s created $dff cell `$procdff$454' with positive edge clock. 165s 165s 2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 165s 165s 2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). 165s Removing empty process `SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$246'. 165s Found and cleaned up 1 empty switch in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 165s Removing empty process `SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$243'. 165s Removing empty process `SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$242'. 165s Found and cleaned up 2 empty switches in `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 165s Removing empty process `SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$236'. 165s Removing empty process `SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$235'. 165s Found and cleaned up 1 empty switch in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 165s Removing empty process `SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$232'. 165s Removing empty process `SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$231'. 165s Found and cleaned up 2 empty switches in `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 165s Removing empty process `SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$225'. 165s Removing empty process `SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$224'. 165s Removing empty process `SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$222'. 165s Removing empty process `SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$221'. 165s Found and cleaned up 1 empty switch in `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 165s Removing empty process `SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$219'. 165s Removing empty process `SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$218'. 165s Removing empty process `SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$216'. 165s Removing empty process `SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$215'. 165s Found and cleaned up 1 empty switch in `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 165s Removing empty process `SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$213'. 165s Removing empty process `SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$212'. 165s Found and cleaned up 1 empty switch in `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 165s Removing empty process `SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$211'. 165s Removing empty process `SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$210'. 165s Removing empty process `SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$209'. 165s Removing empty process `SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$208'. 165s Found and cleaned up 1 empty switch in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 165s Removing empty process `SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$205'. 165s Removing empty process `SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$204'. 165s Found and cleaned up 2 empty switches in `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 165s Removing empty process `SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$198'. 165s Removing empty process `SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$197'. 165s Found and cleaned up 1 empty switch in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 165s Removing empty process `SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$194'. 165s Removing empty process `SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$193'. 165s Found and cleaned up 2 empty switches in `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 165s Removing empty process `SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$187'. 165s Removing empty process `SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$186'. 165s Removing empty process `SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$184'. 165s Removing empty process `SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$183'. 165s Found and cleaned up 1 empty switch in `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 165s Removing empty process `SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$181'. 165s Removing empty process `SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$180'. 165s Removing empty process `SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$178'. 165s Removing empty process `SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$177'. 165s Found and cleaned up 1 empty switch in `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 165s Removing empty process `SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$175'. 165s Removing empty process `SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$174'. 165s Found and cleaned up 1 empty switch in `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 165s Removing empty process `SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$173'. 165s Removing empty process `SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$172'. 165s Removing empty process `SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$171'. 165s Removing empty process `design_ice.$proc$debian/tests/design_ice.v:3$2'. 165s Found and cleaned up 1 empty switch in `\design_ice.$proc$debian/tests/design_ice.v:6$1'. 165s Removing empty process `design_ice.$proc$debian/tests/design_ice.v:6$1'. 165s Cleaned up 19 empty switches. 165s 165s 2.3.12. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.4. Executing FLATTEN pass (flatten design). 165s 165s 2.5. Executing TRIBUF pass. 165s 165s 2.6. Executing DEMINOUT pass (demote inout ports to input or output). 165s 165s 2.7. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.8. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s Removed 0 unused cells and 5 unused wires. 165s 165s 165s 2.9. Executing CHECK pass (checking for obvious problems). 165s Checking module design_ice... 165s Found and reported 0 problems. 165s 165s 2.10. Executing OPT pass (performing simple optimizations). 165s 165s 2.10.1. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.10.2. Executing OPT_MERGE pass (detect identical cells). 165s Finding identical cells in module `\design_ice'. 165s Removed a total of 0 cells. 165s 165s 2.10.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 165s Running muxtree optimizer on module \design_ice.. 165s Creating internal representation of mux trees. 165s Evaluating internal representation of mux trees. 165s Replacing known input bits on port B of cell $procmux$431: \ready -> 1'1 165s Analyzing evaluation results. 165s Removed 0 multiplexer ports. 165s 165s 165s 2.10.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 165s Optimizing cells in module \design_ice. 165s Optimizing cells in module \design_ice. 165s Performed a total of 1 changes. 165s 165s 2.10.5. Executing OPT_MERGE pass (detect identical cells). 165s Finding identical cells in module `\design_ice'. 165s Removed a total of 0 cells. 165s 165s 2.10.6. Executing OPT_DFF pass (perform DFF optimizations). 165s 165s 2.10.7. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s Removed 0 unused cells and 1 unused wires. 165s 165s 165s 2.10.8. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.10.9. Rerunning OPT passes. (Maybe there is more to do..) 165s 165s 2.10.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 165s Running muxtree optimizer on module \design_ice.. 165s Creating internal representation of mux trees. 165s Evaluating internal representation of mux trees. 165s Analyzing evaluation results. 165s Removed 0 multiplexer ports. 165s 165s 165s 2.10.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 165s Optimizing cells in module \design_ice. 165s Performed a total of 0 changes. 165s 165s 2.10.12. Executing OPT_MERGE pass (detect identical cells). 165s Finding identical cells in module `\design_ice'. 165s Removed a total of 0 cells. 165s 165s 2.10.13. Executing OPT_DFF pass (perform DFF optimizations). 165s 165s 2.10.14. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s 165s 2.10.15. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.10.16. Finished OPT passes. (There is nothing left to do.) 165s 165s 2.11. Executing FSM pass (extract and optimize FSM). 165s 165s 2.11.1. Executing FSM_DETECT pass (finding FSMs in design). 165s 165s 2.11.2. Executing FSM_EXTRACT pass (extracting FSM from design). 165s 165s 2.11.3. Executing FSM_OPT pass (simple optimizations of FSMs). 165s 165s 2.11.4. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s 165s 2.11.5. Executing FSM_OPT pass (simple optimizations of FSMs). 165s 165s 2.11.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 165s 165s 2.11.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 165s 165s 2.11.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 165s 165s 2.12. Executing OPT pass (performing simple optimizations). 165s 165s 2.12.1. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.12.2. Executing OPT_MERGE pass (detect identical cells). 165s Finding identical cells in module `\design_ice'. 165s Removed a total of 0 cells. 165s 165s 2.12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 165s Running muxtree optimizer on module \design_ice.. 165s Creating internal representation of mux trees. 165s Evaluating internal representation of mux trees. 165s Analyzing evaluation results. 165s Removed 0 multiplexer ports. 165s 165s 165s 2.12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 165s Optimizing cells in module \design_ice. 165s Performed a total of 0 changes. 165s 165s 2.12.5. Executing OPT_MERGE pass (detect identical cells). 165s Finding identical cells in module `\design_ice'. 165s Removed a total of 0 cells. 165s 165s 2.12.6. Executing OPT_DFF pass (perform DFF optimizations). 165s Adding EN signal on $procdff$454 ($dff) from module design_ice (D = \I1, Q = \value). 165s 165s 2.12.7. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s Removed 1 unused cells and 1 unused wires. 165s 165s 165s 2.12.8. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.12.9. Rerunning OPT passes. (Maybe there is more to do..) 165s 165s 2.12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 165s Running muxtree optimizer on module \design_ice.. 165s Creating internal representation of mux trees. 165s No muxes found in this module. 165s Removed 0 multiplexer ports. 165s 165s 2.12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 165s Optimizing cells in module \design_ice. 165s Performed a total of 0 changes. 165s 165s 2.12.12. Executing OPT_MERGE pass (detect identical cells). 165s Finding identical cells in module `\design_ice'. 165s Removed a total of 0 cells. 165s 165s 2.12.13. Executing OPT_DFF pass (perform DFF optimizations). 165s 165s 2.12.14. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s 165s 2.12.15. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.12.16. Finished OPT passes. (There is nothing left to do.) 165s 165s 2.13. Executing WREDUCE pass (reducing word size of cells). 165s 165s 2.14. Executing PEEPOPT pass (run peephole optimizers). 165s 165s 2.15. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s 165s 2.16. Executing SHARE pass (SAT-based resource sharing). 165s 165s 2.17. Executing TECHMAP pass (map to technology primitives). 165s 165s 2.17.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/cmp2lut.v 165s Parsing Verilog input from `/usr/bin/../share/yosys/cmp2lut.v' to AST representation. 165s Generating RTLIL representation for module `\_90_lut_cmp_'. 165s Successfully finished Verilog frontend. 165s 165s 2.17.2. Continuing TECHMAP pass. 165s No more expansions possible. 165s 165s 165s 2.18. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.19. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s 165s 2.20. Executing ALUMACC pass (create $alu and $macc cells). 165s Extracting $alu and $macc cells in module design_ice: 165s created 0 $alu and 0 $macc cells. 165s 165s 2.21. Executing OPT pass (performing simple optimizations). 165s 165s 2.21.1. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.21.2. Executing OPT_MERGE pass (detect identical cells). 165s Finding identical cells in module `\design_ice'. 165s Removed a total of 0 cells. 165s 165s 2.21.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 165s Running muxtree optimizer on module \design_ice.. 165s Creating internal representation of mux trees. 165s No muxes found in this module. 165s Removed 0 multiplexer ports. 165s 165s 2.21.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 165s Optimizing cells in module \design_ice. 165s Performed a total of 0 changes. 165s 165s 2.21.5. Executing OPT_MERGE pass (detect identical cells). 165s Finding identical cells in module `\design_ice'. 165s Removed a total of 0 cells. 165s 165s 2.21.6. Executing OPT_DFF pass (perform DFF optimizations). 165s 165s 2.21.7. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s 165s 2.21.8. Executing OPT_EXPR pass (perform const folding). 165s Optimizing module design_ice. 165s 165s 2.21.9. Finished OPT passes. (There is nothing left to do.) 165s 165s 2.22. Executing MEMORY pass. 165s 165s 2.22.1. Executing OPT_MEM pass (optimize memories). 165s Performed a total of 0 transformations. 165s 165s 2.22.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). 165s Performed a total of 0 transformations. 165s 165s 2.22.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). 165s 165s 2.22.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 165s 165s 2.22.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). 165s 165s 2.22.6. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s 165s 2.22.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 165s 165s 2.22.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). 165s Performed a total of 0 transformations. 165s 165s 2.22.9. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s 165s 2.22.10. Executing MEMORY_COLLECT pass (generating $mem cells). 165s 165s 2.23. Executing OPT_CLEAN pass (remove unused cells and wires). 165s Finding unused cells or wires in module \design_ice.. 165s 165s 2.24. Executing MEMORY_LIBMAP pass (mapping memories to cells). 165s 165s 2.25. Executing TECHMAP pass (map to technology primitives). 165s 165s 2.25.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/brams_map.v 166s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/brams_map.v' to AST representation. 166s Generating RTLIL representation for module `\$__ICE40_RAM4K_'. 166s Successfully finished Verilog frontend. 166s 166s 2.25.2. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/spram_map.v 166s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/spram_map.v' to AST representation. 166s Generating RTLIL representation for module `\$__ICE40_SPRAM_'. 166s Successfully finished Verilog frontend. 166s 166s 2.25.3. Continuing TECHMAP pass. 166s No more expansions possible. 166s 166s 166s 2.26. Executing ICE40_BRAMINIT pass. 166s 166s 2.27. Executing OPT pass (performing simple optimizations). 166s 166s 2.27.1. Executing OPT_EXPR pass (perform const folding). 166s Optimizing module design_ice. 166s 166s 2.27.2. Executing OPT_MERGE pass (detect identical cells). 166s Finding identical cells in module `\design_ice'. 166s Removed a total of 0 cells. 166s 166s 2.27.3. Executing OPT_DFF pass (perform DFF optimizations). 166s 166s 2.27.4. Executing OPT_CLEAN pass (remove unused cells and wires). 166s Finding unused cells or wires in module \design_ice.. 166s 166s 2.27.5. Finished fast OPT passes. 166s 166s 2.28. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 166s 166s 2.29. Executing OPT pass (performing simple optimizations). 166s 166s 2.29.1. Executing OPT_EXPR pass (perform const folding). 166s Optimizing module design_ice. 166s 166s 2.29.2. Executing OPT_MERGE pass (detect identical cells). 166s Finding identical cells in module `\design_ice'. 166s Removed a total of 0 cells. 166s 166s 2.29.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 166s Running muxtree optimizer on module \design_ice.. 166s Creating internal representation of mux trees. 166s No muxes found in this module. 166s Removed 0 multiplexer ports. 166s 166s 2.29.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 166s Optimizing cells in module \design_ice. 166s Performed a total of 0 changes. 166s 166s 2.29.5. Executing OPT_MERGE pass (detect identical cells). 166s Finding identical cells in module `\design_ice'. 166s Removed a total of 0 cells. 166s 166s 2.29.6. Executing OPT_DFF pass (perform DFF optimizations). 166s 166s 2.29.7. Executing OPT_CLEAN pass (remove unused cells and wires). 166s Finding unused cells or wires in module \design_ice.. 166s 166s 2.29.8. Executing OPT_EXPR pass (perform const folding). 166s Optimizing module design_ice. 166s 166s 2.29.9. Finished OPT passes. (There is nothing left to do.) 166s 166s 2.30. Executing ICE40_WRAPCARRY pass (wrap carries). 166s 166s 2.31. Executing TECHMAP pass (map to technology primitives). 166s 166s 2.31.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/techmap.v 166s Parsing Verilog input from `/usr/bin/../share/yosys/techmap.v' to AST representation. 166s Generating RTLIL representation for module `\_90_simplemap_bool_ops'. 166s Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. 166s Generating RTLIL representation for module `\_90_simplemap_logic_ops'. 166s Generating RTLIL representation for module `\_90_simplemap_compare_ops'. 166s Generating RTLIL representation for module `\_90_simplemap_various'. 166s Generating RTLIL representation for module `\_90_simplemap_registers'. 166s Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. 166s Generating RTLIL representation for module `\_90_shift_shiftx'. 166s Generating RTLIL representation for module `\_90_fa'. 166s Generating RTLIL representation for module `\_90_lcu'. 166s Generating RTLIL representation for module `\_90_alu'. 166s Generating RTLIL representation for module `\_90_macc'. 166s Generating RTLIL representation for module `\_90_alumacc'. 166s Generating RTLIL representation for module `\$__div_mod_u'. 166s Generating RTLIL representation for module `\$__div_mod_trunc'. 166s Generating RTLIL representation for module `\_90_div'. 166s Generating RTLIL representation for module `\_90_mod'. 166s Generating RTLIL representation for module `\$__div_mod_floor'. 166s Generating RTLIL representation for module `\_90_divfloor'. 166s Generating RTLIL representation for module `\_90_modfloor'. 166s Generating RTLIL representation for module `\_90_pow'. 166s Generating RTLIL representation for module `\_90_pmux'. 166s Generating RTLIL representation for module `\_90_demux'. 166s Generating RTLIL representation for module `\_90_lut'. 166s Successfully finished Verilog frontend. 166s 166s 2.31.2. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/arith_map.v 166s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/arith_map.v' to AST representation. 166s Generating RTLIL representation for module `\_80_ice40_alu'. 166s Successfully finished Verilog frontend. 166s 166s 2.31.3. Continuing TECHMAP pass. 166s Using extmapper simplemap for cells of type $dffe. 166s Using extmapper simplemap for cells of type $dff. 166s No more expansions possible. 166s 166s 166s 2.32. Executing OPT pass (performing simple optimizations). 166s 166s 2.32.1. Executing OPT_EXPR pass (perform const folding). 166s Optimizing module design_ice. 166s 166s 2.32.2. Executing OPT_MERGE pass (detect identical cells). 166s Finding identical cells in module `\design_ice'. 166s Removed a total of 0 cells. 166s 166s 2.32.3. Executing OPT_DFF pass (perform DFF optimizations). 166s 166s 2.32.4. Executing OPT_CLEAN pass (remove unused cells and wires). 166s Finding unused cells or wires in module \design_ice.. 166s 166s 2.32.5. Finished fast OPT passes. 166s 166s 2.33. Executing ICE40_OPT pass (performing simple optimizations). 166s 166s 2.33.1. Running ICE40 specific optimizations. 166s 166s 2.33.2. Executing OPT_EXPR pass (perform const folding). 166s Optimizing module design_ice. 166s 166s 2.33.3. Executing OPT_MERGE pass (detect identical cells). 166s Finding identical cells in module `\design_ice'. 166s Removed a total of 0 cells. 166s 166s 2.33.4. Executing OPT_DFF pass (perform DFF optimizations). 166s 166s 2.33.5. Executing OPT_CLEAN pass (remove unused cells and wires). 166s Finding unused cells or wires in module \design_ice.. 166s 166s 2.33.6. Finished OPT passes. (There is nothing left to do.) 166s 166s 2.34. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). 166s 166s 2.35. Executing TECHMAP pass (map to technology primitives). 166s 166s 2.35.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/ff_map.v 166s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/ff_map.v' to AST representation. 166s Generating RTLIL representation for module `\$_DFF_N_'. 166s Generating RTLIL representation for module `\$_DFF_P_'. 166s Generating RTLIL representation for module `\$_DFFE_NP_'. 166s Generating RTLIL representation for module `\$_DFFE_PP_'. 166s Generating RTLIL representation for module `\$_DFF_NP0_'. 166s Generating RTLIL representation for module `\$_DFF_NP1_'. 166s Generating RTLIL representation for module `\$_DFF_PP0_'. 166s Generating RTLIL representation for module `\$_DFF_PP1_'. 166s Generating RTLIL representation for module `\$_DFFE_NP0P_'. 166s Generating RTLIL representation for module `\$_DFFE_NP1P_'. 166s Generating RTLIL representation for module `\$_DFFE_PP0P_'. 166s Generating RTLIL representation for module `\$_DFFE_PP1P_'. 166s Generating RTLIL representation for module `\$_SDFF_NP0_'. 166s Generating RTLIL representation for module `\$_SDFF_NP1_'. 166s Generating RTLIL representation for module `\$_SDFF_PP0_'. 166s Generating RTLIL representation for module `\$_SDFF_PP1_'. 166s Generating RTLIL representation for module `\$_SDFFCE_NP0P_'. 166s Generating RTLIL representation for module `\$_SDFFCE_NP1P_'. 166s Generating RTLIL representation for module `\$_SDFFCE_PP0P_'. 166s Generating RTLIL representation for module `\$_SDFFCE_PP1P_'. 166s Successfully finished Verilog frontend. 166s 166s 2.35.2. Continuing TECHMAP pass. 166s Using template \$_DFF_P_ for cells of type $_DFF_P_. 166s Using template \$_DFFE_PP_ for cells of type $_DFFE_PP_. 166s No more expansions possible. 166s 166s 166s 2.36. Executing OPT_EXPR pass (perform const folding). 166s Optimizing module design_ice. 166s 166s 2.37. Executing SIMPLEMAP pass (map simple cells to gate primitives). 166s 166s 2.38. Executing ICE40_OPT pass (performing simple optimizations). 166s 166s 2.38.1. Running ICE40 specific optimizations. 166s 166s 2.38.2. Executing OPT_EXPR pass (perform const folding). 166s Optimizing module design_ice. 166s 166s 2.38.3. Executing OPT_MERGE pass (detect identical cells). 166s Finding identical cells in module `\design_ice'. 166s Removed a total of 0 cells. 166s 166s 2.38.4. Executing OPT_DFF pass (perform DFF optimizations). 166s 166s 2.38.5. Executing OPT_CLEAN pass (remove unused cells and wires). 166s Finding unused cells or wires in module \design_ice.. 166s Removed 0 unused cells and 9 unused wires. 166s 166s 166s 2.38.6. Rerunning OPT passes. (Removed registers in this run.) 166s 166s 2.38.7. Running ICE40 specific optimizations. 166s 166s 2.38.8. Executing OPT_EXPR pass (perform const folding). 166s Optimizing module design_ice. 166s 166s 2.38.9. Executing OPT_MERGE pass (detect identical cells). 166s Finding identical cells in module `\design_ice'. 166s Removed a total of 0 cells. 166s 166s 2.38.10. Executing OPT_DFF pass (perform DFF optimizations). 166s 166s 2.38.11. Executing OPT_CLEAN pass (remove unused cells and wires). 166s Finding unused cells or wires in module \design_ice.. 166s 166s 2.38.12. Finished OPT passes. (There is nothing left to do.) 166s 166s 2.39. Executing TECHMAP pass (map to technology primitives). 166s 166s 2.39.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/latches_map.v 166s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/latches_map.v' to AST representation. 166s Generating RTLIL representation for module `\$_DLATCH_N_'. 166s Generating RTLIL representation for module `\$_DLATCH_P_'. 166s Successfully finished Verilog frontend. 166s 166s 2.39.2. Continuing TECHMAP pass. 166s No more expansions possible. 166s 166s 166s 2.40. Executing ABC pass (technology mapping using ABC). 166s 166s 2.40.1. Extracting gate netlist of module `\design_ice' to `/input.blif'.. 166s Extracted 0 gates and 0 wires to a netlist network with 0 inputs and 0 outputs. 166s Don't call ABC as there is nothing to map. 166s Removing temp directory. 166s 166s 2.41. Executing ICE40_WRAPCARRY pass (wrap carries). 166s 166s 2.42. Executing TECHMAP pass (map to technology primitives). 166s 166s 2.42.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/ff_map.v 166s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/ff_map.v' to AST representation. 166s Generating RTLIL representation for module `\$_DFF_N_'. 166s Generating RTLIL representation for module `\$_DFF_P_'. 166s Generating RTLIL representation for module `\$_DFFE_NP_'. 166s Generating RTLIL representation for module `\$_DFFE_PP_'. 166s Generating RTLIL representation for module `\$_DFF_NP0_'. 166s Generating RTLIL representation for module `\$_DFF_NP1_'. 166s Generating RTLIL representation for module `\$_DFF_PP0_'. 166s Generating RTLIL representation for module `\$_DFF_PP1_'. 166s Generating RTLIL representation for module `\$_DFFE_NP0P_'. 166s Generating RTLIL representation for module `\$_DFFE_NP1P_'. 166s Generating RTLIL representation for module `\$_DFFE_PP0P_'. 166s Generating RTLIL representation for module `\$_DFFE_PP1P_'. 166s Generating RTLIL representation for module `\$_SDFF_NP0_'. 166s Generating RTLIL representation for module `\$_SDFF_NP1_'. 166s Generating RTLIL representation for module `\$_SDFF_PP0_'. 166s Generating RTLIL representation for module `\$_SDFF_PP1_'. 166s Generating RTLIL representation for module `\$_SDFFCE_NP0P_'. 166s Generating RTLIL representation for module `\$_SDFFCE_NP1P_'. 166s Generating RTLIL representation for module `\$_SDFFCE_PP0P_'. 166s Generating RTLIL representation for module `\$_SDFFCE_PP1P_'. 166s Successfully finished Verilog frontend. 166s 166s 2.42.2. Continuing TECHMAP pass. 166s No more expansions possible. 166s 166s 166s 2.43. Executing OPT_LUT pass (optimize LUTs). 166s Discovering LUTs. 166s Number of LUTs: 0 166s with \SB_CARRY (#0) 0 166s with \SB_CARRY (#1) 0 166s 166s Eliminating LUTs. 166s Number of LUTs: 0 166s with \SB_CARRY (#0) 0 166s with \SB_CARRY (#1) 0 166s 166s Combining LUTs. 166s Number of LUTs: 0 166s with \SB_CARRY (#0) 0 166s with \SB_CARRY (#1) 0 166s 166s Eliminated 0 LUTs. 166s Combined 0 LUTs. 166s 166s 2.44. Executing TECHMAP pass (map to technology primitives). 166s 166s 2.44.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/cells_map.v 166s Parsing Verilog input from `/usr/bin/../share/yosys/ice40/cells_map.v' to AST representation. 166s Generating RTLIL representation for module `\$lut'. 166s Successfully finished Verilog frontend. 166s 166s 2.44.2. Continuing TECHMAP pass. 166s No more expansions possible. 166s 166s 166s 2.45. Executing AUTONAME pass. 166s Renamed 2 objects in module design_ice (2 iterations). 166s 166s 166s 2.46. Executing HIERARCHY pass (managing design hierarchy). 166s 166s 2.46.1. Analyzing design hierarchy.. 166s Top module: \design_ice 166s 166s 2.46.2. Analyzing design hierarchy.. 166s Top module: \design_ice 166s Removed 0 unused modules. 166s 166s 2.47. Printing statistics. 166s 166s === design_ice === 166s 166s Number of wires: 5 166s Number of wire bits: 5 166s Number of public wires: 5 166s Number of public wire bits: 5 166s Number of memories: 0 166s Number of memory bits: 0 166s Number of processes: 0 166s Number of cells: 2 166s SB_DFF 1 166s SB_DFFE 1 166s 166s 2.48. Executing CHECK pass (checking for obvious problems). 166s Checking module design_ice... 166s Found and reported 0 problems. 166s 166s 2.49. Executing BLIF backend. 166s 166s End of script. Logfile hash: 90753d1129, CPU: user 0.66s system 0.03s, MEM: 20.00 MB peak 166s Yosys 0.23 (git sha1 7ce5011c24b) 166s Time spent: 73% 13x read_verilog (0 sec), 7% 1x synth_ice40 (0 sec), ... 166s autopkgtest [03:17:37]: test ice: -----------------------] 166s autopkgtest [03:17:37]: test ice: - - - - - - - - - - results - - - - - - - - - - 166s ice PASS 167s autopkgtest [03:17:38]: test smtbc: preparing testbed 168s Reading package lists... 169s Building dependency tree... 169s Reading state information... 169s Starting pkgProblemResolver with broken count: 0 169s Starting 2 pkgProblemResolver with broken count: 0 169s Done 170s The following NEW packages will be installed: 170s autopkgtest-satdep 170s 0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. 170s Need to get 0 B/708 B of archives. 170s After this operation, 0 B of additional disk space will be used. 170s Get:1 /tmp/autopkgtest.Azol3b/2-autopkgtest-satdep.deb autopkgtest-satdep arm64 0 [708 B] 170s Selecting previously unselected package autopkgtest-satdep. 170s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 92033 files and directories currently installed.) 170s Preparing to unpack .../2-autopkgtest-satdep.deb ... 170s Unpacking autopkgtest-satdep (0) ... 170s Setting up autopkgtest-satdep (0) ... 173s (Reading database ... 92033 files and directories currently installed.) 173s Removing autopkgtest-satdep (0) ... 174s autopkgtest [03:17:45]: test smtbc: [----------------------- 175s autopkgtest [03:17:46]: test smtbc: -----------------------] 175s autopkgtest [03:17:46]: test smtbc: - - - - - - - - - - results - - - - - - - - - - 175s smtbc PASS 176s autopkgtest [03:17:47]: @@@@@@@@@@@@@@@@@@@@ summary 176s ice PASS 176s smtbc PASS 180s Creating nova instance adt-noble-arm64-yosys-20240325-030105-juju-7f2275-prod-proposed-migration-environment-3 from image adt/ubuntu-noble-arm64-server-20240324.img (UUID 943dd583-2042-4622-b4ce-bdf10ad153ac)...