0s autopkgtest [01:36:43]: starting date: 2024-03-11 0s autopkgtest [01:36:43]: git checkout: d9c0295 adt_testbed.py: supress warnings from apt using a shell pipeline 0s autopkgtest [01:36:43]: host juju-7f2275-prod-proposed-migration-environment-3; command line: /home/ubuntu/autopkgtest/runner/autopkgtest --output-dir /tmp/autopkgtest-work.oomrum_a/out --timeout-copy=6000 --setup-commands /home/ubuntu/autopkgtest-cloud/worker-config-production/setup-canonical.sh --setup-commands /home/ubuntu/autopkgtest/setup-commands/setup-testbed --apt-pocket=proposed=src:perl,src:db5.3,src:gdbm,src:mmdebstrap --apt-upgrade libverilog-perl --timeout-short=300 --timeout-copy=20000 --timeout-build=20000 '--env=ADT_TEST_TRIGGERS=perl/5.38.2-3.2 db5.3/5.3.28+dfsg2-5 gdbm/1.23-5.1 mmdebstrap/1.4.3-6' -- ssh -s /home/ubuntu/autopkgtest/ssh-setup/nova -- --flavor autopkgtest --security-groups autopkgtest-juju-7f2275-prod-proposed-migration-environment-3@bos02-arm64-15.secgroup --name adt-noble-arm64-libverilog-perl-20240311-013643-juju-7f2275-prod-proposed-migration-environment-3 --image adt/ubuntu-noble-arm64-server --keyname testbed-juju-7f2275-prod-proposed-migration-environment-3 --net-id=net_prod-proposed-migration -e TERM=linux -e ''"'"'http_proxy=http://squid.internal:3128'"'"'' -e ''"'"'https_proxy=http://squid.internal:3128'"'"'' -e ''"'"'no_proxy=127.0.0.1,127.0.1.1,login.ubuntu.com,localhost,localdomain,novalocal,internal,archive.ubuntu.com,ports.ubuntu.com,security.ubuntu.com,ddebs.ubuntu.com,changelogs.ubuntu.com,launchpadlibrarian.net,launchpadcontent.net,launchpad.net,10.24.0.0/24,keystone.ps5.canonical.com,objectstorage.prodstack5.canonical.com'"'"'' --mirror=http://ftpmaster.internal/ubuntu/ 302s autopkgtest [01:41:45]: @@@@@@@@@@@@@@@@@@@@ test bed setup 304s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] 305s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [37.3 kB] 306s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [2657 kB] 307s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [3976 B] 307s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [434 kB] 308s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 Packages [581 kB] 308s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 c-n-f Metadata [3144 B] 308s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted arm64 Packages [20.3 kB] 308s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted arm64 c-n-f Metadata [116 B] 308s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 Packages [2967 kB] 310s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 c-n-f Metadata [8528 B] 310s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse arm64 Packages [39.6 kB] 310s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse arm64 c-n-f Metadata [116 B] 330s Fetched 6868 kB in 11s (632 kB/s) 331s Reading package lists... 357s Reading package lists... 358s Building dependency tree... 358s Reading state information... 361s Calculating upgrade... 364s The following packages were automatically installed and are no longer required: 364s libgdbm-compat4t64 libperl5.38 lto-disabled-list make perl-modules-5.38 364s Use 'sudo apt autoremove' to remove them. 364s The following packages will be REMOVED: 364s dpkg-dev libdpkg-perl libgdbm-compat4 libgdbm6 perl 364s The following NEW packages will be installed: 364s libgdbm-compat4t64 libgdbm6t64 364s The following packages have been kept back: 364s libperl5.38 364s The following packages will be upgraded: 364s perl-base perl-modules-5.38 365s 2 upgraded, 2 newly installed, 5 to remove and 1 not upgraded. 365s Need to get 4928 kB of archives. 365s After this operation, 4158 kB disk space will be freed. 365s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 perl-base arm64 5.38.2-3.2 [1777 kB] 366s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgdbm6t64 arm64 1.23-5.1 [34.3 kB] 366s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgdbm-compat4t64 arm64 1.23-5.1 [6576 B] 366s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 perl-modules-5.38 all 5.38.2-3.2 [3110 kB] 371s Fetched 4928 kB in 1s (3776 kB/s) 372s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75856 files and directories currently installed.) 372s Removing dpkg-dev (1.22.4ubuntu5) ... 373s Removing libdpkg-perl (1.22.4ubuntu5) ... 373s Removing perl (5.38.2-3) ... 374s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75275 files and directories currently installed.) 374s Preparing to unpack .../perl-base_5.38.2-3.2_arm64.deb ... 374s Unpacking perl-base (5.38.2-3.2) over (5.38.2-3) ... 378s Setting up perl-base (5.38.2-3.2) ... 378s dpkg: libgdbm6:arm64: dependency problems, but removing anyway as you requested: 378s python3-gdbm:arm64 depends on libgdbm6 (>= 1.16). 378s man-db depends on libgdbm6 (>= 1.16). 378s libperl5.38:arm64 depends on libgdbm6 (>= 1.21). 378s libgdbm-compat4:arm64 depends on libgdbm6 (>= 1.16). 378s 379s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75275 files and directories currently installed.) 379s Removing libgdbm6:arm64 (1.23-5) ... 379s Selecting previously unselected package libgdbm6t64:arm64. 379s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75270 files and directories currently installed.) 379s Preparing to unpack .../libgdbm6t64_1.23-5.1_arm64.deb ... 379s Unpacking libgdbm6t64:arm64 (1.23-5.1) ... 379s dpkg: libgdbm-compat4:arm64: dependency problems, but removing anyway as you requested: 379s libperl5.38:arm64 depends on libgdbm-compat4 (>= 1.18-3). 379s 380s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75276 files and directories currently installed.) 380s Removing libgdbm-compat4:arm64 (1.23-5) ... 380s Selecting previously unselected package libgdbm-compat4t64:arm64. 380s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75271 files and directories currently installed.) 380s Preparing to unpack .../libgdbm-compat4t64_1.23-5.1_arm64.deb ... 380s Unpacking libgdbm-compat4t64:arm64 (1.23-5.1) ... 380s Preparing to unpack .../perl-modules-5.38_5.38.2-3.2_all.deb ... 380s Unpacking perl-modules-5.38 (5.38.2-3.2) over (5.38.2-3) ... 387s Setting up libgdbm6t64:arm64 (1.23-5.1) ... 387s Setting up libgdbm-compat4t64:arm64 (1.23-5.1) ... 387s Setting up perl-modules-5.38 (5.38.2-3.2) ... 387s Processing triggers for man-db (2.12.0-3) ... 393s Processing triggers for libc-bin (2.39-0ubuntu2) ... 396s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 396s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 396s Reading package lists... 398s Building dependency tree... 398s Reading state information... 401s The following packages will be REMOVED: 401s libgdbm-compat4t64* libperl5.38* lto-disabled-list* make* perl-modules-5.38* 403s 0 upgraded, 0 newly installed, 5 to remove and 0 not upgraded. 403s After this operation, 52.0 MB disk space will be freed. 404s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75277 files and directories currently installed.) 404s Removing libperl5.38:arm64 (5.38.2-3) ... 404s Removing libgdbm-compat4t64:arm64 (1.23-5.1) ... 405s Removing lto-disabled-list (47) ... 405s Removing make (4.3-4.1build1) ... 405s Removing perl-modules-5.38 (5.38.2-3.2) ... 406s Processing triggers for man-db (2.12.0-3) ... 407s Processing triggers for libc-bin (2.39-0ubuntu2) ... 413s sh: Attempting to set up Debian/Ubuntu apt sources automatically 413s sh: Distribution appears to be Ubuntu 433s Reading package lists... 435s Building dependency tree... 435s Reading state information... 439s eatmydata is already the newest version (131-1). 439s dbus is already the newest version (1.14.10-4ubuntu1). 439s dbus set to manually installed. 439s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 439s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 439s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 439s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 440s Reading package lists... 441s Building dependency tree... 441s Reading state information... 446s rng-tools-debian is already the newest version (2.4). 446s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 447s Reading package lists... 449s Building dependency tree... 449s Reading state information... 452s haveged is already the newest version (1.9.14-1ubuntu1). 452s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 452s Reading package lists... 454s Building dependency tree... 454s Reading state information... 457s The following additional packages will be installed: 457s libdb5.3t64 libdpkg-perl libgdbm-compat4t64 libperl5.38t64 lto-disabled-list 457s make perl perl-modules-5.38 457s Suggested packages: 457s debian-keyring gcc | c-compiler git bzr make-doc perl-doc 457s libterm-readline-gnu-perl | libterm-readline-perl-perl 457s libtap-harness-archive-perl 457s Recommended packages: 457s build-essential gcc | c-compiler fakeroot libalgorithm-merge-perl 457s libfile-fcntllock-perl 457s The following packages will be REMOVED: 457s libdb5.3 457s The following NEW packages will be installed: 457s dpkg-dev libdb5.3t64 libdpkg-perl libgdbm-compat4t64 libperl5.38t64 457s lto-disabled-list make perl perl-modules-5.38 457s 0 upgraded, 9 newly installed, 1 to remove and 0 not upgraded. 457s Need to get 7257 kB/10.4 MB of archives. 457s After this operation, 56.1 MB of additional disk space will be used. 457s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libdb5.3t64 arm64 5.3.28+dfsg2-5 [719 kB] 458s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libperl5.38t64 arm64 5.38.2-3.2 [4771 kB] 459s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 perl arm64 5.38.2-3.2 [231 kB] 459s Get:4 http://ftpmaster.internal/ubuntu noble/main arm64 libdpkg-perl all 1.22.4ubuntu5 [268 kB] 459s Get:5 http://ftpmaster.internal/ubuntu noble/main arm64 make arm64 4.3-4.1build1 [177 kB] 459s Get:6 http://ftpmaster.internal/ubuntu noble/main arm64 lto-disabled-list all 47 [12.4 kB] 459s Get:7 http://ftpmaster.internal/ubuntu noble/main arm64 dpkg-dev all 1.22.4ubuntu5 [1078 kB] 462s Fetched 7257 kB in 2s (4395 kB/s) 462s dpkg: libdb5.3:arm64: dependency problems, but removing anyway as you requested: 462s libsasl2-modules-db:arm64 depends on libdb5.3. 462s libpython3.12-stdlib:arm64 depends on libdb5.3. 462s libpython3.11-stdlib:arm64 depends on libdb5.3. 462s libpam-modules:arm64 depends on libdb5.3. 462s iproute2 depends on libdb5.3. 462s apt-utils depends on libdb5.3. 462s 463s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 73306 files and directories currently installed.) 463s Removing libdb5.3:arm64 (5.3.28+dfsg2-4) ... 464s Selecting previously unselected package libdb5.3t64:arm64. 464s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 73300 files and directories currently installed.) 464s Preparing to unpack .../libdb5.3t64_5.3.28+dfsg2-5_arm64.deb ... 464s Unpacking libdb5.3t64:arm64 (5.3.28+dfsg2-5) ... 465s Setting up libdb5.3t64:arm64 (5.3.28+dfsg2-5) ... 465s Selecting previously unselected package perl-modules-5.38. 466s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 73306 files and directories currently installed.) 466s Preparing to unpack .../0-perl-modules-5.38_5.38.2-3.2_all.deb ... 466s Unpacking perl-modules-5.38 (5.38.2-3.2) ... 472s Selecting previously unselected package libgdbm-compat4t64:arm64. 472s Preparing to unpack .../1-libgdbm-compat4t64_1.23-5.1_arm64.deb ... 472s Unpacking libgdbm-compat4t64:arm64 (1.23-5.1) ... 472s Selecting previously unselected package libperl5.38t64:arm64. 472s Preparing to unpack .../2-libperl5.38t64_5.38.2-3.2_arm64.deb ... 472s Unpacking libperl5.38t64:arm64 (5.38.2-3.2) ... 475s Selecting previously unselected package perl. 475s Preparing to unpack .../3-perl_5.38.2-3.2_arm64.deb ... 475s Unpacking perl (5.38.2-3.2) ... 476s Selecting previously unselected package libdpkg-perl. 476s Preparing to unpack .../4-libdpkg-perl_1.22.4ubuntu5_all.deb ... 476s Unpacking libdpkg-perl (1.22.4ubuntu5) ... 477s Selecting previously unselected package make. 477s Preparing to unpack .../5-make_4.3-4.1build1_arm64.deb ... 477s Unpacking make (4.3-4.1build1) ... 477s Selecting previously unselected package lto-disabled-list. 477s Preparing to unpack .../6-lto-disabled-list_47_all.deb ... 477s Unpacking lto-disabled-list (47) ... 477s Selecting previously unselected package dpkg-dev. 477s Preparing to unpack .../7-dpkg-dev_1.22.4ubuntu5_all.deb ... 477s Unpacking dpkg-dev (1.22.4ubuntu5) ... 479s Setting up lto-disabled-list (47) ... 481s Setting up libgdbm-compat4t64:arm64 (1.23-5.1) ... 481s Setting up make (4.3-4.1build1) ... 481s Setting up perl-modules-5.38 (5.38.2-3.2) ... 481s Setting up libperl5.38t64:arm64 (5.38.2-3.2) ... 481s Setting up perl (5.38.2-3.2) ... 481s Setting up libdpkg-perl (1.22.4ubuntu5) ... 481s Setting up dpkg-dev (1.22.4ubuntu5) ... 481s Processing triggers for man-db (2.12.0-3) ... 483s Processing triggers for libc-bin (2.39-0ubuntu2) ... 486s Reading package lists... 487s Building dependency tree... 487s Reading state information... 492s The following packages will be REMOVED: 492s cloud-init* python3-configobj* python3-debconf* 495s 0 upgraded, 0 newly installed, 3 to remove and 0 not upgraded. 495s After this operation, 3248 kB disk space will be freed. 495s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75858 files and directories currently installed.) 495s Removing cloud-init (24.1-0ubuntu1) ... 502s Removing python3-configobj (5.0.8-3) ... 502s Removing python3-debconf (1.5.86) ... 503s Processing triggers for man-db (2.12.0-3) ... 505s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75469 files and directories currently installed.) 505s Purging configuration files for cloud-init (24.1-0ubuntu1) ... 511s dpkg: warning: while removing cloud-init, directory '/etc/cloud/cloud.cfg.d' not empty so not removed 511s Processing triggers for rsyslog (8.2312.0-3ubuntu3) ... 515s Reading package lists... 517s Building dependency tree... 517s Reading state information... 521s linux-generic is already the newest version (6.8.0-11.11+1). 521s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 522s Hit:1 http://ftpmaster.internal/ubuntu noble InRelease 522s Hit:2 http://ftpmaster.internal/ubuntu noble-updates InRelease 523s Hit:3 http://ftpmaster.internal/ubuntu noble-security InRelease 523s Hit:4 http://ftpmaster.internal/ubuntu noble-proposed InRelease 523s Hit:5 http://ftpmaster.internal/ubuntu noble-backports InRelease 544s Reading package lists... 544s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 544s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 544s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 545s Reading package lists... 547s Building dependency tree... 547s Reading state information... 550s Calculating upgrade... 555s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 556s Reading package lists... 557s Building dependency tree... 557s Reading state information... 561s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 562s autopkgtest [01:46:05]: rebooting testbed after setup commands that affected boot 732s autopkgtest-virt-ssh: WARNING: ssh connection failed. Retrying in 3 seconds... 765s autopkgtest [01:49:28]: testbed running kernel: Linux 6.8.0-11-generic #11-Ubuntu SMP PREEMPT_DYNAMIC Wed Feb 14 02:53:31 UTC 2024 766s autopkgtest [01:49:29]: testbed dpkg architecture: arm64 770s autopkgtest [01:49:33]: @@@@@@@@@@@@@@@@@@@@ apt-source libverilog-perl 772s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 772s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 772s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 777s Get:1 http://ftpmaster.internal/ubuntu noble/universe libverilog-perl 3.482-1 (dsc) [2527 B] 777s Get:2 http://ftpmaster.internal/ubuntu noble/universe libverilog-perl 3.482-1 (tar) [648 kB] 777s Get:3 http://ftpmaster.internal/ubuntu noble/universe libverilog-perl 3.482-1 (diff) [9208 B] 779s gpgv: Signature made Sat Jan 27 01:46:57 2024 UTC 779s gpgv: using RSA key D1E1316E93A760A8104D85FABB3A68018649AA06 779s gpgv: Can't check signature: No public key 779s dpkg-source: warning: cannot verify inline signature for ./libverilog-perl_3.482-1.dsc: no acceptable signature found 780s autopkgtest [01:49:43]: testing package libverilog-perl version 3.482-1 780s autopkgtest [01:49:43]: build not needed 783s autopkgtest [01:49:46]: test autodep8-perl-build-deps: preparing testbed 792s Reading package lists... 795s Building dependency tree... 795s Reading state information... 798s Correcting dependencies...Starting pkgProblemResolver with broken count: 0 799s Starting 2 pkgProblemResolver with broken count: 0 799s Done 801s Done 802s Starting pkgProblemResolver with broken count: 0 804s Starting 2 pkgProblemResolver with broken count: 0 804s Done 807s The following additional packages will be installed: 807s autoconf autodep8 automake autopoint autotools-dev bison build-essential cpp 807s cpp-13 cpp-13-aarch64-linux-gnu cpp-aarch64-linux-gnu dctrl-tools debhelper 807s debugedit dh-autoreconf dh-strip-nondeterminism dwz flex g++ g++-13 807s g++-13-aarch64-linux-gnu g++-aarch64-linux-gnu gcc gcc-13 807s gcc-13-aarch64-linux-gnu gcc-aarch64-linux-gnu gettext intltool-debian 807s libarchive-zip-perl libasan8 libatomic1 libbit-vector-perl libc-dev-bin 807s libc6-dev libcarp-clan-perl libcc1-0 libcrypt-dev libdebhelper-perl libdw1 807s libfile-stripnondeterminism-perl libgcc-13-dev libgomp1 libhwasan0 libisl23 807s libitm1 liblsan0 libmpc3 libnsl-dev libperl-dev libstdc++-13-dev 807s libsub-override-perl libtest-pod-perl libtirpc-dev libtool libtsan2 807s libubsan1 libverilog-perl linux-libc-dev m4 pkg-perl-autopkgtest po-debconf 807s rpcsvc-proto 807s Suggested packages: 807s autoconf-archive gnu-standards autoconf-doc bison-doc cpp-doc gcc-13-locales 807s cpp-13-doc debtags dh-make flex-doc gcc-13-doc gcc-multilib manpages-dev gdb 807s gcc-doc gdb-aarch64-linux-gnu gettext-doc libasprintf-dev libgettextpo-dev 807s glibc-doc libstdc++-13-doc libtool-doc gfortran | fortran95-compiler gcj-jdk 807s m4-doc libmail-box-perl 807s Recommended packages: 807s libfl-dev manpages manpages-dev libc-devtools libarchive-cpio-perl 807s libltdl-dev libmail-sendmail-perl 807s The following NEW packages will be installed: 807s autoconf autodep8 automake autopoint autotools-dev bison build-essential cpp 807s cpp-13 cpp-13-aarch64-linux-gnu cpp-aarch64-linux-gnu dctrl-tools debhelper 807s debugedit dh-autoreconf dh-strip-nondeterminism dwz flex g++ g++-13 807s g++-13-aarch64-linux-gnu g++-aarch64-linux-gnu gcc gcc-13 807s gcc-13-aarch64-linux-gnu gcc-aarch64-linux-gnu gettext intltool-debian 807s libarchive-zip-perl libasan8 libatomic1 libbit-vector-perl libc-dev-bin 807s libc6-dev libcarp-clan-perl libcc1-0 libcrypt-dev libdebhelper-perl libdw1 807s libfile-stripnondeterminism-perl libgcc-13-dev libgomp1 libhwasan0 libisl23 807s libitm1 liblsan0 libmpc3 libnsl-dev libperl-dev libstdc++-13-dev 807s libsub-override-perl libtest-pod-perl libtirpc-dev libtool libtsan2 807s libubsan1 libverilog-perl linux-libc-dev m4 pkg-perl-autopkgtest po-debconf 807s rpcsvc-proto 808s 0 upgraded, 62 newly installed, 0 to remove and 0 not upgraded. 808s 1 not fully installed or removed. 808s Need to get 68.9 MB of archives. 808s After this operation, 246 MB of additional disk space will be used. 808s Get:1 http://ftpmaster.internal/ubuntu noble/universe arm64 libverilog-perl arm64 3.482-1 [411 kB] 808s Get:2 http://ftpmaster.internal/ubuntu noble/main arm64 m4 arm64 1.4.19-4 [240 kB] 808s Get:3 http://ftpmaster.internal/ubuntu noble/main arm64 bison arm64 2:3.8.2+dfsg-1build1 [739 kB] 809s Get:4 http://ftpmaster.internal/ubuntu noble/main arm64 autotools-dev all 20220109.1 [44.9 kB] 809s Get:5 http://ftpmaster.internal/ubuntu noble/main arm64 autoconf all 2.71-3 [339 kB] 809s Get:6 http://ftpmaster.internal/ubuntu noble/main arm64 automake all 1:1.16.5-1.3ubuntu1 [558 kB] 809s Get:7 http://ftpmaster.internal/ubuntu noble/main arm64 autopoint all 0.21-14ubuntu1 [422 kB] 809s Get:8 http://ftpmaster.internal/ubuntu noble/main arm64 libdebhelper-perl all 13.14.1ubuntu1 [89.5 kB] 809s Get:9 http://ftpmaster.internal/ubuntu noble/main arm64 libisl23 arm64 0.26-3 [713 kB] 809s Get:10 http://ftpmaster.internal/ubuntu noble/main arm64 libmpc3 arm64 1.3.1-1 [55.3 kB] 809s Get:11 http://ftpmaster.internal/ubuntu noble/main arm64 cpp-13-aarch64-linux-gnu arm64 13.2.0-17ubuntu2 [10.3 MB] 810s Get:12 http://ftpmaster.internal/ubuntu noble/main arm64 cpp-13 arm64 13.2.0-17ubuntu2 [1028 B] 810s Get:13 http://ftpmaster.internal/ubuntu noble/main arm64 cpp-aarch64-linux-gnu arm64 4:13.2.0-7ubuntu1 [5316 B] 810s Get:14 http://ftpmaster.internal/ubuntu noble/main arm64 cpp arm64 4:13.2.0-7ubuntu1 [22.4 kB] 810s Get:15 http://ftpmaster.internal/ubuntu noble/main arm64 libcc1-0 arm64 14-20240303-1ubuntu1 [44.7 kB] 810s Get:16 http://ftpmaster.internal/ubuntu noble/main arm64 libgomp1 arm64 14-20240303-1ubuntu1 [144 kB] 810s Get:17 http://ftpmaster.internal/ubuntu noble/main arm64 libitm1 arm64 14-20240303-1ubuntu1 [27.7 kB] 810s Get:18 http://ftpmaster.internal/ubuntu noble/main arm64 libatomic1 arm64 14-20240303-1ubuntu1 [11.4 kB] 810s Get:19 http://ftpmaster.internal/ubuntu noble/main arm64 libasan8 arm64 14-20240303-1ubuntu1 [2919 kB] 810s Get:20 http://ftpmaster.internal/ubuntu noble/main arm64 liblsan0 arm64 14-20240303-1ubuntu1 [1282 kB] 810s Get:21 http://ftpmaster.internal/ubuntu noble/main arm64 libtsan2 arm64 14-20240303-1ubuntu1 [2687 kB] 810s Get:22 http://ftpmaster.internal/ubuntu noble/main arm64 libubsan1 arm64 14-20240303-1ubuntu1 [1151 kB] 811s Get:23 http://ftpmaster.internal/ubuntu noble/main arm64 libhwasan0 arm64 14-20240303-1ubuntu1 [1597 kB] 811s Get:24 http://ftpmaster.internal/ubuntu noble/main arm64 libgcc-13-dev arm64 13.2.0-17ubuntu2 [2464 kB] 811s Get:25 http://ftpmaster.internal/ubuntu noble/main arm64 gcc-13-aarch64-linux-gnu arm64 13.2.0-17ubuntu2 [20.1 MB] 814s Get:26 http://ftpmaster.internal/ubuntu noble/main arm64 gcc-13 arm64 13.2.0-17ubuntu2 [467 kB] 814s Get:27 http://ftpmaster.internal/ubuntu noble/main arm64 gcc-aarch64-linux-gnu arm64 4:13.2.0-7ubuntu1 [1198 B] 814s Get:28 http://ftpmaster.internal/ubuntu noble/main arm64 gcc arm64 4:13.2.0-7ubuntu1 [5018 B] 814s Get:29 http://ftpmaster.internal/ubuntu noble/main arm64 libc-dev-bin arm64 2.39-0ubuntu2 [19.7 kB] 814s Get:30 http://ftpmaster.internal/ubuntu noble/main arm64 linux-libc-dev arm64 6.8.0-11.11 [1569 kB] 814s Get:31 http://ftpmaster.internal/ubuntu noble/main arm64 libcrypt-dev arm64 1:4.4.36-4 [136 kB] 814s Get:32 http://ftpmaster.internal/ubuntu noble/main arm64 libtirpc-dev arm64 1.3.4+ds-1build1 [232 kB] 814s Get:33 http://ftpmaster.internal/ubuntu noble/main arm64 libnsl-dev arm64 1.3.0-3 [71.9 kB] 814s Get:34 http://ftpmaster.internal/ubuntu noble/main arm64 rpcsvc-proto arm64 1.4.2-0ubuntu6 [65.4 kB] 814s Get:35 http://ftpmaster.internal/ubuntu noble/main arm64 libc6-dev arm64 2.39-0ubuntu2 [1596 kB] 814s Get:36 http://ftpmaster.internal/ubuntu noble/main arm64 libtool all 2.4.7-7 [166 kB] 814s Get:37 http://ftpmaster.internal/ubuntu noble/main arm64 dh-autoreconf all 20 [16.1 kB] 814s Get:38 http://ftpmaster.internal/ubuntu noble/main arm64 libarchive-zip-perl all 1.68-1 [90.2 kB] 814s Get:39 http://ftpmaster.internal/ubuntu noble/main arm64 libsub-override-perl all 0.10-1 [10.0 kB] 814s Get:40 http://ftpmaster.internal/ubuntu noble/main arm64 libfile-stripnondeterminism-perl all 1.13.1-1 [18.1 kB] 814s Get:41 http://ftpmaster.internal/ubuntu noble/main arm64 dh-strip-nondeterminism all 1.13.1-1 [5362 B] 814s Get:42 http://ftpmaster.internal/ubuntu noble/main arm64 libdw1 arm64 0.190-1 [254 kB] 814s Get:43 http://ftpmaster.internal/ubuntu noble/main arm64 debugedit arm64 1:5.0-5 [45.9 kB] 814s Get:44 http://ftpmaster.internal/ubuntu noble/main arm64 dwz arm64 0.15-1 [110 kB] 814s Get:45 http://ftpmaster.internal/ubuntu noble/main arm64 gettext arm64 0.21-14ubuntu1 [846 kB] 814s Get:46 http://ftpmaster.internal/ubuntu noble/main arm64 intltool-debian all 0.35.0+20060710.6 [23.2 kB] 814s Get:47 http://ftpmaster.internal/ubuntu noble/main arm64 po-debconf all 1.0.21+nmu1 [233 kB] 814s Get:48 http://ftpmaster.internal/ubuntu noble/main arm64 debhelper all 13.14.1ubuntu1 [869 kB] 814s Get:49 http://ftpmaster.internal/ubuntu noble/main arm64 flex arm64 2.6.4-8.2 [291 kB] 814s Get:50 http://ftpmaster.internal/ubuntu noble/universe arm64 libcarp-clan-perl all 6.08-2 [11.9 kB] 814s Get:51 http://ftpmaster.internal/ubuntu noble/universe arm64 libbit-vector-perl arm64 7.4-2build2 [136 kB] 815s Get:52 http://ftpmaster.internal/ubuntu noble/universe arm64 libtest-pod-perl all 1.52-2 [8720 B] 815s Get:53 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libperl-dev arm64 5.38.2-3.2 [1157 kB] 815s Get:54 http://ftpmaster.internal/ubuntu noble/main arm64 libstdc++-13-dev arm64 13.2.0-17ubuntu2 [2322 kB] 815s Get:55 http://ftpmaster.internal/ubuntu noble/main arm64 g++-13-aarch64-linux-gnu arm64 13.2.0-17ubuntu2 [11.7 MB] 816s Get:56 http://ftpmaster.internal/ubuntu noble/main arm64 g++-13 arm64 13.2.0-17ubuntu2 [14.4 kB] 816s Get:57 http://ftpmaster.internal/ubuntu noble/main arm64 g++-aarch64-linux-gnu arm64 4:13.2.0-7ubuntu1 [962 B] 816s Get:58 http://ftpmaster.internal/ubuntu noble/main arm64 g++ arm64 4:13.2.0-7ubuntu1 [1082 B] 816s Get:59 http://ftpmaster.internal/ubuntu noble/main arm64 build-essential arm64 12.10ubuntu1 [4932 B] 816s Get:60 http://ftpmaster.internal/ubuntu noble/main arm64 dctrl-tools arm64 2.24-3build2 [65.2 kB] 816s Get:61 http://ftpmaster.internal/ubuntu noble/main arm64 autodep8 all 0.28 [13.2 kB] 816s Get:62 http://ftpmaster.internal/ubuntu noble/universe arm64 pkg-perl-autopkgtest all 0.77 [18.0 kB] 820s Fetched 68.9 MB in 8s (8193 kB/s) 820s Selecting previously unselected package libverilog-perl:arm64. 821s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75414 files and directories currently installed.) 821s Preparing to unpack .../00-libverilog-perl_3.482-1_arm64.deb ... 821s Unpacking libverilog-perl:arm64 (3.482-1) ... 821s Selecting previously unselected package m4. 821s Preparing to unpack .../01-m4_1.4.19-4_arm64.deb ... 821s Unpacking m4 (1.4.19-4) ... 821s Selecting previously unselected package bison. 821s Preparing to unpack .../02-bison_2%3a3.8.2+dfsg-1build1_arm64.deb ... 821s Unpacking bison (2:3.8.2+dfsg-1build1) ... 821s Selecting previously unselected package autotools-dev. 821s Preparing to unpack .../03-autotools-dev_20220109.1_all.deb ... 821s Unpacking autotools-dev (20220109.1) ... 822s Selecting previously unselected package autoconf. 822s Preparing to unpack .../04-autoconf_2.71-3_all.deb ... 822s Unpacking autoconf (2.71-3) ... 822s Selecting previously unselected package automake. 822s Preparing to unpack .../05-automake_1%3a1.16.5-1.3ubuntu1_all.deb ... 822s Unpacking automake (1:1.16.5-1.3ubuntu1) ... 822s Selecting previously unselected package autopoint. 822s Preparing to unpack .../06-autopoint_0.21-14ubuntu1_all.deb ... 822s Unpacking autopoint (0.21-14ubuntu1) ... 823s Selecting previously unselected package libdebhelper-perl. 823s Preparing to unpack .../07-libdebhelper-perl_13.14.1ubuntu1_all.deb ... 823s Unpacking libdebhelper-perl (13.14.1ubuntu1) ... 823s Selecting previously unselected package libisl23:arm64. 823s Preparing to unpack .../08-libisl23_0.26-3_arm64.deb ... 823s Unpacking libisl23:arm64 (0.26-3) ... 823s Selecting previously unselected package libmpc3:arm64. 823s Preparing to unpack .../09-libmpc3_1.3.1-1_arm64.deb ... 823s Unpacking libmpc3:arm64 (1.3.1-1) ... 823s Selecting previously unselected package cpp-13-aarch64-linux-gnu. 824s Preparing to unpack .../10-cpp-13-aarch64-linux-gnu_13.2.0-17ubuntu2_arm64.deb ... 824s Unpacking cpp-13-aarch64-linux-gnu (13.2.0-17ubuntu2) ... 825s Selecting previously unselected package cpp-13. 826s Preparing to unpack .../11-cpp-13_13.2.0-17ubuntu2_arm64.deb ... 826s Unpacking cpp-13 (13.2.0-17ubuntu2) ... 826s Selecting previously unselected package cpp-aarch64-linux-gnu. 826s Preparing to unpack .../12-cpp-aarch64-linux-gnu_4%3a13.2.0-7ubuntu1_arm64.deb ... 826s Unpacking cpp-aarch64-linux-gnu (4:13.2.0-7ubuntu1) ... 826s Selecting previously unselected package cpp. 826s Preparing to unpack .../13-cpp_4%3a13.2.0-7ubuntu1_arm64.deb ... 826s Unpacking cpp (4:13.2.0-7ubuntu1) ... 827s Selecting previously unselected package libcc1-0:arm64. 827s Preparing to unpack .../14-libcc1-0_14-20240303-1ubuntu1_arm64.deb ... 827s Unpacking libcc1-0:arm64 (14-20240303-1ubuntu1) ... 827s Selecting previously unselected package libgomp1:arm64. 827s Preparing to unpack .../15-libgomp1_14-20240303-1ubuntu1_arm64.deb ... 827s Unpacking libgomp1:arm64 (14-20240303-1ubuntu1) ... 827s Selecting previously unselected package libitm1:arm64. 827s Preparing to unpack .../16-libitm1_14-20240303-1ubuntu1_arm64.deb ... 827s Unpacking libitm1:arm64 (14-20240303-1ubuntu1) ... 827s Selecting previously unselected package libatomic1:arm64. 827s Preparing to unpack .../17-libatomic1_14-20240303-1ubuntu1_arm64.deb ... 827s Unpacking libatomic1:arm64 (14-20240303-1ubuntu1) ... 827s Selecting previously unselected package libasan8:arm64. 827s Preparing to unpack .../18-libasan8_14-20240303-1ubuntu1_arm64.deb ... 827s Unpacking libasan8:arm64 (14-20240303-1ubuntu1) ... 827s Selecting previously unselected package liblsan0:arm64. 827s Preparing to unpack .../19-liblsan0_14-20240303-1ubuntu1_arm64.deb ... 827s Unpacking liblsan0:arm64 (14-20240303-1ubuntu1) ... 828s Selecting previously unselected package libtsan2:arm64. 828s Preparing to unpack .../20-libtsan2_14-20240303-1ubuntu1_arm64.deb ... 828s Unpacking libtsan2:arm64 (14-20240303-1ubuntu1) ... 828s Selecting previously unselected package libubsan1:arm64. 828s Preparing to unpack .../21-libubsan1_14-20240303-1ubuntu1_arm64.deb ... 828s Unpacking libubsan1:arm64 (14-20240303-1ubuntu1) ... 828s Selecting previously unselected package libhwasan0:arm64. 829s Preparing to unpack .../22-libhwasan0_14-20240303-1ubuntu1_arm64.deb ... 829s Unpacking libhwasan0:arm64 (14-20240303-1ubuntu1) ... 829s Selecting previously unselected package libgcc-13-dev:arm64. 829s Preparing to unpack .../23-libgcc-13-dev_13.2.0-17ubuntu2_arm64.deb ... 829s Unpacking libgcc-13-dev:arm64 (13.2.0-17ubuntu2) ... 830s Selecting previously unselected package gcc-13-aarch64-linux-gnu. 830s Preparing to unpack .../24-gcc-13-aarch64-linux-gnu_13.2.0-17ubuntu2_arm64.deb ... 830s Unpacking gcc-13-aarch64-linux-gnu (13.2.0-17ubuntu2) ... 833s Selecting previously unselected package gcc-13. 834s Preparing to unpack .../25-gcc-13_13.2.0-17ubuntu2_arm64.deb ... 834s Unpacking gcc-13 (13.2.0-17ubuntu2) ... 834s Selecting previously unselected package gcc-aarch64-linux-gnu. 834s Preparing to unpack .../26-gcc-aarch64-linux-gnu_4%3a13.2.0-7ubuntu1_arm64.deb ... 834s Unpacking gcc-aarch64-linux-gnu (4:13.2.0-7ubuntu1) ... 834s Selecting previously unselected package gcc. 834s Preparing to unpack .../27-gcc_4%3a13.2.0-7ubuntu1_arm64.deb ... 834s Unpacking gcc (4:13.2.0-7ubuntu1) ... 835s Selecting previously unselected package libc-dev-bin. 835s Preparing to unpack .../28-libc-dev-bin_2.39-0ubuntu2_arm64.deb ... 835s Unpacking libc-dev-bin (2.39-0ubuntu2) ... 835s Selecting previously unselected package linux-libc-dev:arm64. 835s Preparing to unpack .../29-linux-libc-dev_6.8.0-11.11_arm64.deb ... 835s Unpacking linux-libc-dev:arm64 (6.8.0-11.11) ... 837s Selecting previously unselected package libcrypt-dev:arm64. 837s Preparing to unpack .../30-libcrypt-dev_1%3a4.4.36-4_arm64.deb ... 837s Unpacking libcrypt-dev:arm64 (1:4.4.36-4) ... 837s Selecting previously unselected package libtirpc-dev:arm64. 837s Preparing to unpack .../31-libtirpc-dev_1.3.4+ds-1build1_arm64.deb ... 837s Unpacking libtirpc-dev:arm64 (1.3.4+ds-1build1) ... 838s Selecting previously unselected package libnsl-dev:arm64. 838s Preparing to unpack .../32-libnsl-dev_1.3.0-3_arm64.deb ... 838s Unpacking libnsl-dev:arm64 (1.3.0-3) ... 838s Selecting previously unselected package rpcsvc-proto. 838s Preparing to unpack .../33-rpcsvc-proto_1.4.2-0ubuntu6_arm64.deb ... 838s Unpacking rpcsvc-proto (1.4.2-0ubuntu6) ... 839s Selecting previously unselected package libc6-dev:arm64. 839s Preparing to unpack .../34-libc6-dev_2.39-0ubuntu2_arm64.deb ... 839s Unpacking libc6-dev:arm64 (2.39-0ubuntu2) ... 840s Selecting previously unselected package libtool. 840s Preparing to unpack .../35-libtool_2.4.7-7_all.deb ... 840s Unpacking libtool (2.4.7-7) ... 840s Selecting previously unselected package dh-autoreconf. 840s Preparing to unpack .../36-dh-autoreconf_20_all.deb ... 840s Unpacking dh-autoreconf (20) ... 840s Selecting previously unselected package libarchive-zip-perl. 840s Preparing to unpack .../37-libarchive-zip-perl_1.68-1_all.deb ... 840s Unpacking libarchive-zip-perl (1.68-1) ... 840s Selecting previously unselected package libsub-override-perl. 841s Preparing to unpack .../38-libsub-override-perl_0.10-1_all.deb ... 841s Unpacking libsub-override-perl (0.10-1) ... 841s Selecting previously unselected package libfile-stripnondeterminism-perl. 841s Preparing to unpack .../39-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... 841s Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... 841s Selecting previously unselected package dh-strip-nondeterminism. 841s Preparing to unpack .../40-dh-strip-nondeterminism_1.13.1-1_all.deb ... 841s Unpacking dh-strip-nondeterminism (1.13.1-1) ... 841s Selecting previously unselected package libdw1:arm64. 841s Preparing to unpack .../41-libdw1_0.190-1_arm64.deb ... 841s Unpacking libdw1:arm64 (0.190-1) ... 841s Selecting previously unselected package debugedit. 841s Preparing to unpack .../42-debugedit_1%3a5.0-5_arm64.deb ... 841s Unpacking debugedit (1:5.0-5) ... 841s Selecting previously unselected package dwz. 841s Preparing to unpack .../43-dwz_0.15-1_arm64.deb ... 841s Unpacking dwz (0.15-1) ... 841s Selecting previously unselected package gettext. 841s Preparing to unpack .../44-gettext_0.21-14ubuntu1_arm64.deb ... 841s Unpacking gettext (0.21-14ubuntu1) ... 842s Selecting previously unselected package intltool-debian. 842s Preparing to unpack .../45-intltool-debian_0.35.0+20060710.6_all.deb ... 842s Unpacking intltool-debian (0.35.0+20060710.6) ... 842s Selecting previously unselected package po-debconf. 842s Preparing to unpack .../46-po-debconf_1.0.21+nmu1_all.deb ... 842s Unpacking po-debconf (1.0.21+nmu1) ... 843s Selecting previously unselected package debhelper. 843s Preparing to unpack .../47-debhelper_13.14.1ubuntu1_all.deb ... 843s Unpacking debhelper (13.14.1ubuntu1) ... 844s Selecting previously unselected package flex. 844s Preparing to unpack .../48-flex_2.6.4-8.2_arm64.deb ... 844s Unpacking flex (2.6.4-8.2) ... 844s Selecting previously unselected package libcarp-clan-perl. 844s Preparing to unpack .../49-libcarp-clan-perl_6.08-2_all.deb ... 844s Unpacking libcarp-clan-perl (6.08-2) ... 844s Selecting previously unselected package libbit-vector-perl:arm64. 844s Preparing to unpack .../50-libbit-vector-perl_7.4-2build2_arm64.deb ... 844s Unpacking libbit-vector-perl:arm64 (7.4-2build2) ... 845s Selecting previously unselected package libtest-pod-perl. 845s Preparing to unpack .../51-libtest-pod-perl_1.52-2_all.deb ... 845s Unpacking libtest-pod-perl (1.52-2) ... 845s Selecting previously unselected package libperl-dev:arm64. 845s Preparing to unpack .../52-libperl-dev_5.38.2-3.2_arm64.deb ... 845s Unpacking libperl-dev:arm64 (5.38.2-3.2) ... 845s Selecting previously unselected package libstdc++-13-dev:arm64. 845s Preparing to unpack .../53-libstdc++-13-dev_13.2.0-17ubuntu2_arm64.deb ... 845s Unpacking libstdc++-13-dev:arm64 (13.2.0-17ubuntu2) ... 847s Selecting previously unselected package g++-13-aarch64-linux-gnu. 847s Preparing to unpack .../54-g++-13-aarch64-linux-gnu_13.2.0-17ubuntu2_arm64.deb ... 847s Unpacking g++-13-aarch64-linux-gnu (13.2.0-17ubuntu2) ... 849s Selecting previously unselected package g++-13. 849s Preparing to unpack .../55-g++-13_13.2.0-17ubuntu2_arm64.deb ... 849s Unpacking g++-13 (13.2.0-17ubuntu2) ... 849s Selecting previously unselected package g++-aarch64-linux-gnu. 849s Preparing to unpack .../56-g++-aarch64-linux-gnu_4%3a13.2.0-7ubuntu1_arm64.deb ... 849s Unpacking g++-aarch64-linux-gnu (4:13.2.0-7ubuntu1) ... 850s Selecting previously unselected package g++. 850s Preparing to unpack .../57-g++_4%3a13.2.0-7ubuntu1_arm64.deb ... 850s Unpacking g++ (4:13.2.0-7ubuntu1) ... 850s Selecting previously unselected package build-essential. 850s Preparing to unpack .../58-build-essential_12.10ubuntu1_arm64.deb ... 850s Unpacking build-essential (12.10ubuntu1) ... 850s Selecting previously unselected package dctrl-tools. 850s Preparing to unpack .../59-dctrl-tools_2.24-3build2_arm64.deb ... 850s Unpacking dctrl-tools (2.24-3build2) ... 850s Selecting previously unselected package autodep8. 850s Preparing to unpack .../60-autodep8_0.28_all.deb ... 850s Unpacking autodep8 (0.28) ... 851s Selecting previously unselected package pkg-perl-autopkgtest. 851s Preparing to unpack .../61-pkg-perl-autopkgtest_0.77_all.deb ... 851s Unpacking pkg-perl-autopkgtest (0.77) ... 851s Setting up libdw1:arm64 (0.190-1) ... 851s Setting up libarchive-zip-perl (1.68-1) ... 851s Setting up libdebhelper-perl (13.14.1ubuntu1) ... 851s Setting up libtest-pod-perl (1.52-2) ... 851s Setting up linux-libc-dev:arm64 (6.8.0-11.11) ... 851s Setting up m4 (1.4.19-4) ... 851s Setting up libgomp1:arm64 (14-20240303-1ubuntu1) ... 851s Setting up libverilog-perl:arm64 (3.482-1) ... 851s Setting up autotools-dev (20220109.1) ... 851s Setting up libtirpc-dev:arm64 (1.3.4+ds-1build1) ... 851s Setting up rpcsvc-proto (1.4.2-0ubuntu6) ... 851s Setting up libmpc3:arm64 (1.3.1-1) ... 851s Setting up libatomic1:arm64 (14-20240303-1ubuntu1) ... 851s Setting up autopoint (0.21-14ubuntu1) ... 851s Setting up autoconf (2.71-3) ... 851s Setting up libubsan1:arm64 (14-20240303-1ubuntu1) ... 851s Setting up dwz (0.15-1) ... 851s Setting up libnsl-dev:arm64 (1.3.0-3) ... 851s Setting up libhwasan0:arm64 (14-20240303-1ubuntu1) ... 851s Setting up libcrypt-dev:arm64 (1:4.4.36-4) ... 851s Setting up libasan8:arm64 (14-20240303-1ubuntu1) ... 851s Setting up bison (2:3.8.2+dfsg-1build1) ... 851s update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode 851s Setting up debugedit (1:5.0-5) ... 851s Setting up libsub-override-perl (0.10-1) ... 851s Setting up libcarp-clan-perl (6.08-2) ... 851s Setting up libtsan2:arm64 (14-20240303-1ubuntu1) ... 851s Setting up libisl23:arm64 (0.26-3) ... 851s Setting up libc-dev-bin (2.39-0ubuntu2) ... 851s Setting up libcc1-0:arm64 (14-20240303-1ubuntu1) ... 851s Setting up liblsan0:arm64 (14-20240303-1ubuntu1) ... 851s Setting up dctrl-tools (2.24-3build2) ... 851s Setting up libitm1:arm64 (14-20240303-1ubuntu1) ... 851s Setting up autodep8 (0.28) ... 851s Setting up automake (1:1.16.5-1.3ubuntu1) ... 851s update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode 851s Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... 851s Setting up flex (2.6.4-8.2) ... 851s Setting up gettext (0.21-14ubuntu1) ... 851s Setting up cpp-13-aarch64-linux-gnu (13.2.0-17ubuntu2) ... 851s Setting up libbit-vector-perl:arm64 (7.4-2build2) ... 851s Setting up intltool-debian (0.35.0+20060710.6) ... 851s Setting up cpp-aarch64-linux-gnu (4:13.2.0-7ubuntu1) ... 851s Setting up pkg-perl-autopkgtest (0.77) ... 851s Setting up dh-strip-nondeterminism (1.13.1-1) ... 851s Setting up libgcc-13-dev:arm64 (13.2.0-17ubuntu2) ... 851s Setting up libc6-dev:arm64 (2.39-0ubuntu2) ... 851s Setting up libstdc++-13-dev:arm64 (13.2.0-17ubuntu2) ... 851s Setting up cpp-13 (13.2.0-17ubuntu2) ... 851s Setting up po-debconf (1.0.21+nmu1) ... 851s Setting up libperl-dev:arm64 (5.38.2-3.2) ... 851s Setting up gcc-13-aarch64-linux-gnu (13.2.0-17ubuntu2) ... 851s Setting up gcc-13 (13.2.0-17ubuntu2) ... 851s Setting up cpp (4:13.2.0-7ubuntu1) ... 852s Setting up g++-13-aarch64-linux-gnu (13.2.0-17ubuntu2) ... 852s Setting up gcc-aarch64-linux-gnu (4:13.2.0-7ubuntu1) ... 852s Setting up g++-13 (13.2.0-17ubuntu2) ... 852s Setting up libtool (2.4.7-7) ... 852s Setting up gcc (4:13.2.0-7ubuntu1) ... 852s Setting up dh-autoreconf (20) ... 852s Setting up g++-aarch64-linux-gnu (4:13.2.0-7ubuntu1) ... 852s Setting up debhelper (13.14.1ubuntu1) ... 852s Setting up g++ (4:13.2.0-7ubuntu1) ... 852s update-alternatives: using /usr/bin/g++ to provide /usr/bin/c++ (c++) in auto mode 852s Setting up build-essential (12.10ubuntu1) ... 852s Setting up autopkgtest-satdep (0) ... 852s Processing triggers for man-db (2.12.0-3) ... 863s Processing triggers for install-info (7.1-3) ... 865s Processing triggers for libc-bin (2.39-0ubuntu2) ... 880s (Reading database ... 79726 files and directories currently installed.) 880s Removing autopkgtest-satdep (0) ... 884s autopkgtest [01:51:27]: test autodep8-perl-build-deps: /usr/share/pkg-perl-autopkgtest/runner build-deps 884s autopkgtest [01:51:27]: test autodep8-perl-build-deps: [----------------------- 887s t/01_manifest.t ....... 887s 1..1 887s # Running under perl version 5.038002 for linux 887s # Current time local: Mon Mar 11 01:51:30 2024 887s # Current time GMT: Mon Mar 11 01:51:30 2024 887s # Using Test.pm version 1.31 887s ok 1 # skip author only test (harmless) 887s ok 893s t/02_help.t ........... 893s 1..12 893s Doc test of: /usr/bin/vhier 893s ok 1 - exe exists: /usr/bin/vhier 893s ok 2 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vhier --help 2>&1 893s ok 3 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vhier --version 2>&1 893s Doc test of: /usr/bin/vpassert 893s ok 4 - exe exists: /usr/bin/vpassert 893s ok 5 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vpassert --help 2>&1 893s ok 6 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vpassert --version 2>&1 893s Doc test of: /usr/bin/vppreproc 893s ok 7 - exe exists: /usr/bin/vppreproc 893s ok 8 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vppreproc --help 2>&1 893s ok 9 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vppreproc --version 2>&1 893s Doc test of: /usr/bin/vrename 893s ok 10 - exe exists: /usr/bin/vrename 893s ok 11 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vrename --help 2>&1 893s ok 12 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib /usr/bin/vrename --version 2>&1 893s ok 893s t/03_spaces.t ......... 893s 1..2 893s # Running under perl version 5.038002 for linux 893s # Current time local: Mon Mar 11 01:51:36 2024 893s # Current time GMT: Mon Mar 11 01:51:36 2024 893s # Using Test.pm version 1.31 893s ok 1 893s ok 2 # skip author only test (harmless) 893s ok 893s t/05_yaml.t ........... 893s 1..1 893s # Running under perl version 5.038002 for linux 893s # Current time local: Mon Mar 11 01:51:36 2024 893s # Current time GMT: Mon Mar 11 01:51:36 2024 893s # Using Test.pm version 1.31 893s ok 1 # skip author only test (harmless) 893s ok 894s t/10_keywords.t ....... 894s 1..27 894s ok 1 894s ok 2 894s ok 3 894s ok 4 894s ok 5 894s ok 6 894s ok 7 894s ok 8 894s ok 9 894s ok 10 894s ok 11 894s ok 12 894s ok 13 894s ok 14 894s ok 15 894s ok 16 894s ok 17 894s ok 18 894s ok 19 894s ok 20 894s ok 21 - language_maximum 894s ok 22 894s ok 23 894s ok 24 894s ok 25 894s ok 26 894s ok 27 894s ok 895s t/12_splitbus.t ....... 895s 1..5 895s ok 1 - use 895s ok 2 895s ok 3 895s ok 4 895s ok 5 895s ok 895s t/14_numbers.t ........ 895s 1..32 895s ok 1 - use 895s ok 2 895s ok 3 895s ok 4 895s ok 5 895s ok 6 895s ok 7 895s ok 8 895s ok 9 895s ok 10 895s ok 11 895s ok 12 895s Bit::Vector 895s 5823 -> got 32 000016bf =? exp 32 exp 000016bf 895s ok 13 - number of bits 895s ok 14 - value 895s 80'h47cb_40d7_b50f_0147_1a85 -> got 80 47cb40d7b50f01471a85 =? exp 80 exp 47cb40d7b50f01471a85 895s ok 15 - number of bits 895s ok 16 - value 895s 83'o227525534413441101057616251 -> got 83 097aad721721208bf1ca9 =? exp 83 exp 097aad721721208bf1ca9 895s ok 17 - number of bits 895s ok 18 - value 895s 70'b1011010111111001010111111111111001110000011000101110010100110101101101 -> got 70 2d7e57ff9c18b94d6d =? exp 70 exp 2d7e57ff9c18b94d6d 895s ok 19 - number of bits 895s ok 20 - value 895s 90'd46548__4046747316__6145438700 -> got 90 003d9b368496d10ab0043ec =? exp 90 exp 003d9b368496d10ab0043ec 895s ok 21 - number of bits 895s ok 22 - value 895s Math::BigInt 895s ok 23 # skip Math::BigInt not installed (harmless) 895s ok 24 # skip Math::BigInt not installed (harmless) 895s ok 25 # skip Math::BigInt not installed (harmless) 895s ok 26 # skip Math::BigInt not installed (harmless) 895s ok 27 # skip Math::BigInt not installed (harmless) 895s ok 28 # skip Math::BigInt not installed (harmless) 895s ok 29 # skip Math::BigInt not installed (harmless) 895s ok 30 # skip Math::BigInt not installed (harmless) 895s ok 31 # skip Math::BigInt not installed (harmless) 895s ok 32 # skip Math::BigInt not installed (harmless) 895s ok 895s t/16_std.t ............ 895s 1..3 895s ok 1 - use 895s ok 2 895s ok 3 895s ok 896s t/20_getopt.t ......... 896s 1..15 896s ok 1 - use 896s ok 2 - new 896s ok 3 896s parameter(+libext+t) 896s libext t 896s parameter(+incdir+t) 896s incdir t 896s parameter(+define+foo=bar) 896s Define foo = bar 896s parameter(+define+foo2) 896s Define foo2 = 896s parameter(+define+foo3=3+foo4) 896s Define foo3 = 3 896s Define foo4 = 896s parameter(-v) 896s parameter(libdir) 896s library libdir 896s parameter(-y) 896s parameter(moddir) 896s module_dir moddir 896s parameter(-Dbaz=bar) 896s Define baz = bar 896s parameter(-Iincdir2) 896s incdir incdir2 896s parameter(-f) 896s parameter($DOT/t/20_getopt.opt) 896s *parameter_file ./t/20_getopt.opt 896s parameter(-Dread_opt_file=1) 896s Define read_opt_file = 1 896s parameter(-y) 896s parameter(y_library_path) 896s module_dir y_library_path 896s parameter(-F) 896s parameter($DOT/t/20_getopt.opt) 896s *parameter_file ./t/20_getopt.opt 896s parameter(-Dread_opt_file=1) 896s Define read_opt_file = 1 896s parameter(-y) 896s parameter(y_library_path) 896s module_dir t/y_library_path 896s parameter(passthru) 896s passthru 896s ok 4 896s ok 5 896s depend_files t/20_getopt.t 896s fp t/20_getopt.t 896s ok 6 896s OUT: +define+baz=bar +define+foo=bar +define+foo2 +define+foo3=3 +define+foo4 +define+read_opt_file=1 +libext+.v+t +incdir+. +incdir+t +incdir+incdir2 -y . -y moddir -y y_library_path -y t/y_library_path -v libdir 896s ok 7 896s parameter(+define+baz=bar) 896s Define baz = bar 896s parameter(+define+foo=bar) 896s Define foo = bar 896s parameter(+define+foo2) 896s Define foo2 = 896s parameter(+define+foo3=3) 896s Define foo3 = 3 896s parameter(+define+foo4) 896s Define foo4 = 896s parameter(+define+read_opt_file=1) 896s Define read_opt_file = 1 896s parameter(+libext+.v+t) 896s libext .v 896s libext t 896s parameter(+incdir+.) 896s incdir . 896s parameter(+incdir+t) 896s incdir t 896s parameter(+incdir+incdir2) 896s incdir incdir2 896s parameter(-y) 896s parameter(.) 896s module_dir . 896s parameter(-y) 896s parameter(moddir) 896s module_dir moddir 896s parameter(-y) 896s parameter(y_library_path) 896s module_dir y_library_path 896s parameter(-y) 896s parameter(t/y_library_path) 896s module_dir t/y_library_path 896s parameter(-v) 896s parameter(libdir) 896s library libdir 896s LEFT: 896s LEFT: +define+baz=bar +define+foo=bar +define+foo2 +define+foo3=3 +define+foo4 +define+read_opt_file=1 +libext+.v+t +incdir+. +incdir+t +incdir+incdir2 -y . -y moddir -y y_library_path -y t/y_library_path -v libdir 896s ok 8 896s parameter(+libext+t) 896s parameter(+incdir+t) 896s parameter(+define+foo=bar) 896s parameter(+define+foo2) 896s parameter(+define+foo3=3+foo4) 896s parameter(-v) 896s parameter(libdir) 896s parameter(-y) 896s parameter(moddir) 896s parameter(-Dbaz=bar) 896s Define baz = bar 896s parameter(-Iincdir2) 896s incdir incdir2 896s parameter(-f) 896s parameter($DOT/t/20_getopt.opt) 896s *parameter_file ./t/20_getopt.opt 896s parameter(-Dread_opt_file=1) 896s Define read_opt_file = 1 896s parameter(-y) 896s parameter(y_library_path) 896s parameter(-F) 896s parameter($DOT/t/20_getopt.opt) 896s *parameter_file ./t/20_getopt.opt 896s parameter(-Dread_opt_file=1) 896s Define read_opt_file = 1 896s parameter(-y) 896s parameter(y_library_path) 896s parameter(passthru) 896s LEFT: +libext+t +incdir+t +define+foo=bar +define+foo2 +define+foo3=3+foo4 -v libdir -y moddir -y y_library_path -y y_library_path passthru 896s ok 9 896s parameter(+libext+t) 896s libext t 896s parameter(+incdir+t) 896s incdir t 896s parameter(+define+foo=bar) 896s Define foo = bar 896s parameter(+define+foo2) 896s Define foo2 = 896s parameter(+define+foo3=3+foo4) 896s Define foo3 = 3 896s Define foo4 = 896s parameter(-v) 896s parameter(libdir) 896s library libdir 896s parameter(-y) 896s parameter(moddir) 896s module_dir moddir 896s parameter(-Dbaz=bar) 896s parameter(-Iincdir2) 896s parameter(-f) 896s parameter($DOT/t/20_getopt.opt) 896s *parameter_file ./t/20_getopt.opt 896s parameter(-Dread_opt_file=1) 896s parameter(-y) 896s parameter(y_library_path) 896s module_dir y_library_path 896s parameter(-F) 896s parameter($DOT/t/20_getopt.opt) 896s *parameter_file ./t/20_getopt.opt 896s parameter(-Dread_opt_file=1) 896s parameter(-y) 896s parameter(y_library_path) 896s module_dir t/y_library_path 896s parameter(passthru) 896s LEFT: -Dbaz=bar -Iincdir2 -Dread_opt_file=1 -Dread_opt_file=1 passthru 896s ok 10 896s parameter(+define+foo=bar) 896s Define foo = bar 896s parameter(+define+foo=baz) 896s Define foo = baz 896s ok 11 896s incdir ARRAY(0xc2f1c8934bc0) 896s module_dir ARRAY(0xc2f1c8934c98) 896s ok 12 896s ok 13 896s ok 14 896s ok 15 896s ok 897s t/30_preproc.t ........ 897s 1..19 897s ok 1 - use Verilog::Getopt 897s ok 2 - use Verilog::Preproc 897s ok 3 - new 897s ok 4 - parsed 897s ok 5 - diff 897s ok 6 - new_on 897s ok 7 - parsed_on 897s ok 8 - diff_on 897s ok 9 - new_syn 897s ok 10 - parsed_syn 897s ok 11 - diff_syn 897s ok 12 - new_nows 897s ok 13 - parsed_nows 897s ok 14 - diff_nows 897s ok 15 - new_sub 897s ok 16 - parsed_sub 897s ok 17 - diff_sub 897s ok 18 897s ok 19 - getall does same callbacks 897s ok 897s t/32_noinc.t .......... 897s 1..7 897s ok 1 - use 897s ok 2 - new 897s ok 3 - open 897s ok 4 897s ok 5 - new 897s ok 6 - open 897s ok 7 897s ok 899s t/33_gzip.t ........... 899s 1..4 899s ok 1 - use 899s ok 2 - gzip test creation 899s ok 3 - open 899s ok 4 - decompress found text 899s ok 899s t/34_parser.t ......... 899s 1..7 899s ok 1 - use 899s ok 2 - new 899s ok 3 - selftest 899s ok 4 899s ok 5 899s ok 6 - diff 899s ok 7 - coverage 899s ok 900s t/35_sigparser.t ...... 900s 1..6 900s ok 1 - use 900s ok 2 - read 900s ok 3 - diff 900s ok 4 - read-pinselects 900s ok 5 - diff 900s ok 6 - coverage 900s ok 901s t/36_sigmany.t ........ 901s 1..3 901s # Running under perl version 5.038002 for linux 901s # Current time local: Mon Mar 11 01:51:43 2024 901s # Current time GMT: Mon Mar 11 01:51:43 2024 901s # Using Test.pm version 1.31 901s ok 1 901s ok 2 # skip VERILOG_TEST_FILES not set (harmless) 901s ====================================================================== 901s read verilog/example.v 901s ====================================================================== 901s read verilog/parser_bugs.v 901s ====================================================================== 901s read verilog/parser_sv.v 901s ====================================================================== 901s read verilog/parser_sv09.v 901s ====================================================================== 901s read verilog/parser_sv17.v 901s ====================================================================== 901s read verilog/parser_vectors.v 901s ====================================================================== 901s read verilog/pinorder.v 901s ====================================================================== 901s read verilog/pli.v 901s ====================================================================== 901s read verilog/t_80_foo.v 901s ====================================================================== 901s read verilog/t_86_vhier_tick.v 901s ====================================================================== 901s read verilog/t_86_vhier_tick_sub.v 901s ====================================================================== 901s read verilog/test.v 901s ====================================================================== 901s read verilog/v_comments.v 901s ====================================================================== 901s read verilog/v_gate.v 901s ====================================================================== 901s read verilog/v_hier_noport.v 901s ====================================================================== 901s read verilog/v_hier_sub.v 901s ====================================================================== 901s read verilog/v_hier_subprim.v 901s ====================================================================== 901s read verilog/v_hier_subsub.v 901s ====================================================================== 901s read verilog/v_hier_top.v 901s ====================================================================== 901s read verilog/v_hier_top2.v 901s ====================================================================== 901s read verilog/v_recursive.v 901s ====================================================================== 901s read verilog/v_sv_intf.v 901s ====================================================================== 901s read verilog/v_sv_mod.v 901s ====================================================================== 901s read verilog/v_sv_pgm.v 901s ====================================================================== 901s read verilog/v_sv_pkg.v 901s ====================================================================== 901s read verilog/v_v2k.v 901s ok 3 901s ok 901s t/40_netlist.t ........ 901s 1..17 901s ok 1 - use 901s Level tests 901s ok 2 901s ok 3 901s ok 4 901s ok 5 901s ok 6 901s ok 7 901s ok 8 901s ok 9 901s ok 10 901s ok 11 901s ok 12 901s ok 13 901s ok 14 901s ok 15 901s ok 16 901s ok 17 901s ok 902s t/41_example.t ........ 902s 1..3 902s ok 1 - use 902s ok 2 902s ok 3 - done 902s ok 903s t/42_dumpcheck.t ...... 903s 1..17 903s ok 1 - use 903s Dump 903s ok 2 903s ok 3 903s ok 4 903s Dump 903s ok 5 903s ok 6 903s ok 7 903s Dump 903s ok 8 903s ok 9 903s ok 10 903s Dump 903s ok 11 903s ok 12 903s ok 13 903s Edit tests 903s ok 14 903s ok 15 903s Dump 903s ok 16 903s ok 17 903s ok 903s t/43_storable.t ....... 903s 1..4 903s # Running under perl version 5.038002 for linux 903s # Current time local: Mon Mar 11 01:51:46 2024 903s # Current time GMT: Mon Mar 11 01:51:46 2024 903s # Using Test.pm version 1.31 903s ok 1 903s ok 2 903s ok 3 903s ok 4 903s ok 904s t/44_create.t ......... 904s 1..3 904s ok 1 - use 904s ok 2 904s ok 3 - done 904s ok 904s t/46_link.t ........... 904s 1..2 904s ok 1 - use 904s Dump 904s Module:buffer Kwd:module File:verilog/v_gate.v 904s Port:A Dir:in DataT: Array: 904s Port:Z Dir:out DataT: Array: 904s Net:A O DeclT:port NetT: DataT: Array: 904s Net:Z I DeclT:port NetT: DataT: Array: 904s Cell:u_buf is-a:buf 904s Pin:pin1 Net:Z 904s Net:Z I DeclT:port NetT: DataT: Array: 904s Pin:pin2 Net:A 904s Net:A O DeclT:port NetT: DataT: Array: 904s Module:gate Kwd:module File:verilog/v_gate.v 904s Port:A Dir:in DataT: Array: 904s Port:Z Dir:out DataT: Array: 904s Net:A IO DeclT:port NetT: DataT: Array: 904s Net:Z IO DeclT:port NetT: DataT: Array: 904s Cell:u_buf is-a:buffer 904s Module:buffer Kwd:module File:verilog/v_gate.v 904s Pin:A Net:A 904s Port:A Dir:in DataT: Array: 904s Net:A IO DeclT:port NetT: DataT: Array: 904s Pin:Z Net:Z 904s Port:Z Dir:out DataT: Array: 904s Net:Z IO DeclT:port NetT: DataT: Array: 904s ok 2 - done 904s ok 910s t/48_leak.t ........... 910s 1..2 910s # Running under perl version 5.038002 for linux 910s # Current time local: Mon Mar 11 01:51:47 2024 910s # Current time GMT: Mon Mar 11 01:51:47 2024 910s # Using Test.pm version 1.31 910s 0: Memory 24.801 MB Alloced 0.531 MB 910s 3: Memory 24.902 MB Alloced 0.102 MB 910s ok 1 910s ok 2 910s ok 914s t/49_largeish.t ....... 914s 1..4 914s Wrote test_dir/largeish_1.v: 0.000 MB 914s Wrote test_dir/largeish_2.v: 0.031 MB 914s Wrote test_dir/largeish_3.v: 0.359 MB 914s For sigparser test_dir/largeish_2.v: File 0.036 MB, 0.052 s, 29.930 MB, Alloced 0.656 MB, 18.1 Alloc/FileB 1.4 s/MB 914s For sigparser test_dir/largeish_3.v: File 0.362 MB, 0.442 s, 33.051 MB, Alloced 3.777 MB, 10.4 Alloc/FileB 1.2 s/MB 914s ok 1 - run complete 914s ok 2 - complexity 914s For netlist test_dir/largeish_2.v: File 0.036 MB, 0.160 s, 33.051 MB, Alloced 0.000 MB, 0.0 Alloc/FileB 4.4 s/MB 914s For netlist test_dir/largeish_3.v: File 0.362 MB, 2.137 s, 46.664 MB, Alloced 13.613 MB, 37.6 Alloc/FileB 5.9 s/MB 914s ok 3 - run complete 914s ok 4 - complexity 914s ok 917s t/50_vrename.t ........ 917s 1..6 917s Checking vrename... 917s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -list -xref verilog/test.v 917s parse file verilog/test.v 917s Wrote test_dir/signals.vrename (Changes list, 5 signals) 917s ok 1 - vrename list 917s ok 2 - diff 917s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile verilog/test.vrename -o test_dir verilog/test.v 917s Read verilog/test.vrename 917s Wrote verilog/test.v (3 signals matched) 917s ok 3 - vrename change 917s ok 4 - diff 917s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -list --crypt -o test_dir verilog/test.v 917s parse file verilog/test.v 917s Wrote test_dir/signals.vrename (Changes list, 5 signals) 917s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -change --crypt -o test_dir verilog/test.v 917s Read test_dir/signals.vrename 917s Encrypted verilog/test.v (1 signals matched) 917s ok 5 - vrename crypt 917s ok 6 - output exists 917s ok 919s t/51_vrename_kwd.t .... 919s 1..6 919s Checking vrename... 919s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/51_vrename_kwd_list.vrename -list --changelang --language 1364-1995 t/51_vrename_kwd.v 919s parse file t/51_vrename_kwd.v 919s Wrote test_dir/51_vrename_kwd_list.vrename (Changes list, 22 signals) 919s ok 1 - vrename list 919s ok 2 - diff 919s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile=test_dir/51_vrename_kwd_list.vrename -o test_dir t/51_vrename_kwd.v 919s Read test_dir/51_vrename_kwd_list.vrename 919s Wrote t/51_vrename_kwd.v (1 signals matched) 919s ok 3 - vrename change same 919s ok 4 - diff 919s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile=t/51_vrename_kwd_chg2.vrename -o test_dir t/51_vrename_kwd.v 919s Read t/51_vrename_kwd_chg2.vrename 919s Wrote t/51_vrename_kwd.v (19 signals matched) 919s ok 5 - vrename change 919s ok 6 - diff 919s ok 920s t/56_editfiles.t ...... 920s 1..9 920s ok 1 920s ok 2 - new 920s test_dir/56_editfiles.v (Changed) 920s ok 3 - edit_file 920s ok 4 - diff 920s Reading t/56_editfiles.v... 920s ok 5 - read_and_split 920s Writing test_dir/editout/a.v... 920s Writing test_dir/editout/b.v... 920s ok 6 - write_files 920s ok 7 - diff 920s ok 8 - diff 920s Writing test_dir/editout/0LINT.sh... 920s ok 9 - write_lint 920s ok 921s t/58_vsplitmodule.t ... 921s 1..2 921s Checking vsplitmodule... 921s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vsplitmodule 921s Reading t/32_noinc.v... 921s Reading t/51_vrename_kwd.v... 921s Reading t/56_editfiles.v... 921s Writing test_dir/32_noinc.v... 921s Writing test_dir/51_vrename_kwd.v... 921s Writing test_dir/a.v... 921s Writing test_dir/b.v... 921s Writing test_dir/0LINT.sh... 921s ok 1 - vsplitmodule 921s ok 2 - vsplitmodule output 921s ok 923s t/60_vpassert.t ....... 923s 1..6 923s Checking vpassert... 923s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vpassert --minimum --nostop --date --axiom --verilator --vcs --synthcov -o test_dir/.vpassert -y verilog/ 923s VPASSERT (or overall flags) changed... Two minutes... 923s VPASSERT'ing file (1) verilog/parser_bugs.v (New) (no-output) 923s VPASSERT'ing file (2) verilog/example.v (New) 923s VPASSERT'ing file (3) verilog/test.v (New) (no-output) 923s VPASSERT'ing file (4) verilog/v_comments.v (New) (no-output) 923s VPASSERT'ing file (5) verilog/v_hier_sub.v (New) (no-output) 923s VPASSERT'ing file (6) verilog/parser_sv.v (New) (no-output) 923s VPASSERT'ing file (7) verilog/parser_sv09.v (New) (no-output) 923s VPASSERT'ing file (8) verilog/v_sv_pgm.v (New) (no-output) 923s VPASSERT'ing file (9) verilog/inc2.v (New) (no-output) 923s VPASSERT'ing file (10) verilog/v_sv_mod.v (New) (no-output) 923s VPASSERT'ing file (11) verilog/t_80_foo.v (New) (no-output) 923s VPASSERT'ing file (12) verilog/v_hier_top2.v (New) (no-output) 923s VPASSERT'ing file (13) verilog/parser_vectors.v (New) (no-output) 923s VPASSERT'ing file (14) verilog/pinorder.v (New) (no-output) 923s VPASSERT'ing file (15) verilog/v_sv_intf.v (New) (no-output) 923s VPASSERT'ing file (16) verilog/v_hier_subprim.v (New) (no-output) 923s VPASSERT'ing file (17) verilog/v_recursive.v (New) (no-output) 923s VPASSERT'ing file (18) verilog/v_hier_noport.v (New) (no-output) 923s VPASSERT'ing file (19) verilog/inc_nonl.v (New) (no-output) 923s VPASSERT'ing file (20) verilog/v_hier_top.v (New) (no-output) 923s VPASSERT'ing file (21) verilog/inc1.v (New) (no-output) 923s VPASSERT'ing file (22) verilog/v_hier_subsub.v (New) (no-output) 923s VPASSERT'ing file (23) verilog/t_86_vhier_tick.v (New) (no-output) 923s VPASSERT'ing file (24) verilog/inc_ifdef.v (New) (no-output) 923s VPASSERT'ing file (25) verilog/v_v2k.v (New) (no-output) 923s VPASSERT'ing file (26) verilog/parser_sv17.v (New) (no-output) 923s VPASSERT'ing file (27) verilog/t_86_vhier_tick_sub.v (New) (no-output) 923s VPASSERT'ing file (28) verilog/pli.v (New) 923s VPASSERT'ing file (29) verilog/v_sv_pkg.v (New) (no-output) 923s VPASSERT'ing file (30) verilog/inc_def09.v (New) (no-output) 923s VPASSERT'ing file (31) verilog/v_gate.v (New) (no-output) 923s VPASSERT generated 31 new file(s) 923s ok 1 - vpassert ran 923s ok 2 - pli.v created 923s Line count: inc1.v: 693 =? 693 923s Line count: inc2.v: 5 =? 5 923s Line count: inc_def09.v: 72 =? 72 923s Line count: inc_ifdef.v: 39 =? 39 923s Line count: inc_nonl.v: 1 =? 1 923s Line count: parser_bugs.v: 586 =? 586 923s Line count: parser_sv.v: 424 =? 424 923s Line count: parser_sv09.v: 57 =? 57 923s Line count: parser_sv17.v: 8 =? 8 923s Line count: parser_vectors.v: 55 =? 55 923s Line count: pinorder.v: 50 =? 50 923s Line count: t_80_foo.v: 9 =? 9 923s Line count: t_86_vhier_tick.v: 9 =? 9 923s Line count: t_86_vhier_tick_sub.v: 5 =? 5 923s Line count: test.v: 28 =? 28 923s Line count: v_comments.v: 33 =? 33 923s Line count: v_gate.v: 10 =? 10 923s Line count: v_hier_noport.v: 7 =? 7 923s Line count: v_hier_sub.v: 40 =? 40 923s Line count: v_hier_subprim.v: 35 =? 35 923s Line count: v_hier_subsub.v: 31 =? 31 923s Line count: v_hier_top.v: 45 =? 45 923s Line count: v_hier_top2.v: 19 =? 19 923s Line count: v_recursive.v: 7 =? 7 923s Line count: v_sv_intf.v: 14 =? 14 923s Line count: v_sv_mod.v: 21 =? 21 923s Line count: v_sv_pgm.v: 6 =? 6 923s Line count: v_sv_pkg.v: 11 =? 11 923s Line count: v_v2k.v: 31 =? 31 923s ok 3 - lines output 923s ok 4 - diff output 923s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vpassert --date --verilator --vcs --call-error '$callError' --call-info '$callInfo' --call-warn '$callWarn' -o test_dir/.vpassertcall -y verilog/ 923s VPASSERT (or overall flags) changed... Two minutes... 923s VPASSERT'ing file (1) verilog/parser_bugs.v (New) (no-output) 923s VPASSERT'ing file (2) verilog/example.v (New) 923s VPASSERT'ing file (3) verilog/test.v (New) (no-output) 923s VPASSERT'ing file (4) verilog/v_comments.v (New) (no-output) 923s VPASSERT'ing file (5) verilog/v_hier_sub.v (New) (no-output) 923s VPASSERT'ing file (6) verilog/parser_sv.v (New) (no-output) 923s VPASSERT'ing file (7) verilog/parser_sv09.v (New) (no-output) 923s VPASSERT'ing file (8) verilog/v_sv_pgm.v (New) (no-output) 923s VPASSERT'ing file (9) verilog/inc2.v (New) (no-output) 923s VPASSERT'ing file (10) verilog/v_sv_mod.v (New) (no-output) 923s VPASSERT'ing file (11) verilog/t_80_foo.v (New) (no-output) 923s VPASSERT'ing file (12) verilog/v_hier_top2.v (New) (no-output) 923s VPASSERT'ing file (13) verilog/parser_vectors.v (New) (no-output) 923s VPASSERT'ing file (14) verilog/pinorder.v (New) (no-output) 923s VPASSERT'ing file (15) verilog/v_sv_intf.v (New) (no-output) 923s VPASSERT'ing file (16) verilog/v_hier_subprim.v (New) (no-output) 923s VPASSERT'ing file (17) verilog/v_recursive.v (New) (no-output) 923s VPASSERT'ing file (18) verilog/v_hier_noport.v (New) (no-output) 923s VPASSERT'ing file (19) verilog/inc_nonl.v (New) (no-output) 923s VPASSERT'ing file (20) verilog/v_hier_top.v (New) (no-output) 923s VPASSERT'ing file (21) verilog/inc1.v (New) (no-output) 923s VPASSERT'ing file (22) verilog/v_hier_subsub.v (New) (no-output) 923s VPASSERT'ing file (23) verilog/t_86_vhier_tick.v (New) (no-output) 923s VPASSERT'ing file (24) verilog/inc_ifdef.v (New) (no-output) 923s VPASSERT'ing file (25) verilog/v_v2k.v (New) (no-output) 923s VPASSERT'ing file (26) verilog/parser_sv17.v (New) (no-output) 923s VPASSERT'ing file (27) verilog/t_86_vhier_tick_sub.v (New) (no-output) 923s VPASSERT'ing file (28) verilog/pli.v (New) 923s VPASSERT'ing file (29) verilog/v_sv_pkg.v (New) (no-output) 923s VPASSERT'ing file (30) verilog/inc_def09.v (New) (no-output) 923s VPASSERT'ing file (31) verilog/v_gate.v (New) (no-output) 923s VPASSERT generated 31 new file(s) 923s ok 5 - diff 923s ok 6 # skip author only test (harmless) 923s ok 926s t/80_vppreproc.t ...... 926s 1..15 926s Checking vppreproc... 926s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog inc2.v > test_dir/vppreproc_none.v 926s ok 1 - run command 926s ok 2 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog inc2.v > test_dir/vppreproc_none.v 926s ok 3 - diff 926s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --nocomment --pedantic -y verilog inc2.v > test_dir/vppreproc_cmped.v 926s ok 4 - run command 926s ok 5 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --nocomment --pedantic -y verilog inc2.v > test_dir/vppreproc_cmped.v 926s ok 6 - diff 926s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --simple -y verilog inc2.v > test_dir/vppreproc_simple.v 926s ok 7 - run command 926s ok 8 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --simple -y verilog inc2.v > test_dir/vppreproc_simple.v 926s ok 9 - diff 926s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --dump-defines -y verilog inc2.v > test_dir/vppreproc_defines.v 926s ok 10 - run command 926s ok 11 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --dump-defines -y verilog inc2.v > test_dir/vppreproc_defines.v 926s ok 12 - diff 926s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -f verilog/t_80_foo.f -y verilog inc2.v > test_dir/vppreproc_rel_file.v 926s ok 13 - run command 926s ok 14 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -f verilog/t_80_foo.f -y verilog inc2.v > test_dir/vppreproc_rel_file.v 926s ok 15 - diff 926s ok 934s t/85_vhier.t .......... 934s 1..19 934s Checking vhier... 934s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --cells --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 1 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --cells --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 2 - vhier file compare 934s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --includes --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 3 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --includes --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 4 - vhier file compare 934s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 5 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 6 - vhier file compare 934s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --resolve-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 7 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --resolve-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 8 - vhier file compare 934s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --language 2001 --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 9 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --language 2001 --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 10 - vhier file compare 934s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --top-module v_hier_sub --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 11 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --top-module v_hier_sub --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 12 - vhier file compare 934s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 13 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 14 - vhier file compare 934s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --skiplist t/85_vhier_skiplist.dat --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 15 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --skiplist t/85_vhier_skiplist.dat --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 16 - vhier file compare 934s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --xml --cells --includes --input-files --module-files --missing-modules --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 17 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --xml --cells --includes --input-files --module-files --missing-modules --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out 934s ok 18 - vhier file compare 934s ok 19 # skip author only XML test (harmless) 934s ok 935s t/86_vhier_tick.t ..... 935s 1..2 935s ok 1 - /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files -y verilog t_86_vhier_tick.v 935s ok 2 - /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files -y verilog t_86_vhier_tick.v 935s ok 939s t/87_vhier_unicode.t .. 939s 1..3 939s ok 1 939s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog test_dir/unicode.v > test_dir/unicode_vppreproc.out 939s ok 2 - vppreproc outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog test_dir/unicode.v > test_dir/unicode_vppreproc.out 939s /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog test_dir/unicode.v -o test_dir/unicode_vhier.out 939s ok 3 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog test_dir/unicode.v -o test_dir/unicode_vhier.out 939s ok 939s All tests successful. 939s Files=32, Tests=264, 52 wallclock secs ( 0.65 usr 0.33 sys + 40.67 cusr 9.04 csys = 50.69 CPU) 939s Result: PASS 939s autopkgtest [01:52:22]: test autodep8-perl-build-deps: -----------------------] 940s autopkgtest [01:52:23]: test autodep8-perl-build-deps: - - - - - - - - - - results - - - - - - - - - - 940s autodep8-perl-build-deps PASS 941s autopkgtest [01:52:24]: test autodep8-perl: preparing testbed 1247s autopkgtest [01:57:30]: @@@@@@@@@@@@@@@@@@@@ test bed setup 1248s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] 1250s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [2621 kB] 1251s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [37.3 kB] 1251s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [3976 B] 1251s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [433 kB] 1251s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 Packages [582 kB] 1251s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 c-n-f Metadata [3144 B] 1251s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted arm64 Packages [20.3 kB] 1251s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted arm64 c-n-f Metadata [116 B] 1251s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 Packages [2968 kB] 1252s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 c-n-f Metadata [8528 B] 1252s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse arm64 Packages [39.6 kB] 1252s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse arm64 c-n-f Metadata [116 B] 1277s Fetched 6835 kB in 10s (663 kB/s) 1278s Reading package lists... 1306s Reading package lists... 1307s Building dependency tree... 1307s Reading state information... 1312s Calculating upgrade... 1316s The following packages were automatically installed and are no longer required: 1316s libgdbm-compat4t64 libperl5.38 lto-disabled-list make perl-modules-5.38 1316s Use 'sudo apt autoremove' to remove them. 1316s The following packages will be REMOVED: 1316s dpkg-dev libdpkg-perl libgdbm-compat4 libgdbm6 perl 1316s The following NEW packages will be installed: 1316s libgdbm-compat4t64 libgdbm6t64 1316s The following packages have been kept back: 1316s libperl5.38 1316s The following packages will be upgraded: 1316s perl-base perl-modules-5.38 1317s 2 upgraded, 2 newly installed, 5 to remove and 1 not upgraded. 1317s Need to get 4928 kB of archives. 1317s After this operation, 4158 kB disk space will be freed. 1317s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 perl-base arm64 5.38.2-3.2 [1777 kB] 1318s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgdbm6t64 arm64 1.23-5.1 [34.3 kB] 1318s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgdbm-compat4t64 arm64 1.23-5.1 [6576 B] 1318s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 perl-modules-5.38 all 5.38.2-3.2 [3110 kB] 1320s Fetched 4928 kB in 1s (3491 kB/s) 1321s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75856 files and directories currently installed.) 1321s Removing dpkg-dev (1.22.4ubuntu5) ... 1321s Removing libdpkg-perl (1.22.4ubuntu5) ... 1322s Removing perl (5.38.2-3) ... 1323s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75275 files and directories currently installed.) 1323s Preparing to unpack .../perl-base_5.38.2-3.2_arm64.deb ... 1323s Unpacking perl-base (5.38.2-3.2) over (5.38.2-3) ... 1327s Setting up perl-base (5.38.2-3.2) ... 1327s dpkg: libgdbm6:arm64: dependency problems, but removing anyway as you requested: 1327s python3-gdbm:arm64 depends on libgdbm6 (>= 1.16). 1327s man-db depends on libgdbm6 (>= 1.16). 1327s libperl5.38:arm64 depends on libgdbm6 (>= 1.21). 1327s libgdbm-compat4:arm64 depends on libgdbm6 (>= 1.16). 1327s 1328s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75275 files and directories currently installed.) 1328s Removing libgdbm6:arm64 (1.23-5) ... 1329s Selecting previously unselected package libgdbm6t64:arm64. 1329s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75270 files and directories currently installed.) 1329s Preparing to unpack .../libgdbm6t64_1.23-5.1_arm64.deb ... 1329s Unpacking libgdbm6t64:arm64 (1.23-5.1) ... 1330s dpkg: libgdbm-compat4:arm64: dependency problems, but removing anyway as you requested: 1330s libperl5.38:arm64 depends on libgdbm-compat4 (>= 1.18-3). 1330s 1330s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75276 files and directories currently installed.) 1330s Removing libgdbm-compat4:arm64 (1.23-5) ... 1331s Selecting previously unselected package libgdbm-compat4t64:arm64. 1331s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75271 files and directories currently installed.) 1331s Preparing to unpack .../libgdbm-compat4t64_1.23-5.1_arm64.deb ... 1331s Unpacking libgdbm-compat4t64:arm64 (1.23-5.1) ... 1331s Preparing to unpack .../perl-modules-5.38_5.38.2-3.2_all.deb ... 1331s Unpacking perl-modules-5.38 (5.38.2-3.2) over (5.38.2-3) ... 1339s Setting up libgdbm6t64:arm64 (1.23-5.1) ... 1339s Setting up libgdbm-compat4t64:arm64 (1.23-5.1) ... 1339s Setting up perl-modules-5.38 (5.38.2-3.2) ... 1339s Processing triggers for man-db (2.12.0-3) ... 1345s Processing triggers for libc-bin (2.39-0ubuntu2) ... 1348s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1348s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1348s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1349s Reading package lists... 1350s Building dependency tree... 1350s Reading state information... 1354s The following packages will be REMOVED: 1354s libgdbm-compat4t64* libperl5.38* lto-disabled-list* make* perl-modules-5.38* 1356s 0 upgraded, 0 newly installed, 5 to remove and 0 not upgraded. 1356s After this operation, 52.0 MB disk space will be freed. 1356s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75277 files and directories currently installed.) 1356s Removing libperl5.38:arm64 (5.38.2-3) ... 1356s Removing libgdbm-compat4t64:arm64 (1.23-5.1) ... 1356s Removing lto-disabled-list (47) ... 1356s Removing make (4.3-4.1build1) ... 1356s Removing perl-modules-5.38 (5.38.2-3.2) ... 1357s Processing triggers for man-db (2.12.0-3) ... 1360s Processing triggers for libc-bin (2.39-0ubuntu2) ... 1365s sh: Attempting to set up Debian/Ubuntu apt sources automatically 1365s sh: Distribution appears to be Ubuntu 1390s Reading package lists... 1393s Building dependency tree... 1393s Reading state information... 1397s eatmydata is already the newest version (131-1). 1397s dbus is already the newest version (1.14.10-4ubuntu1). 1397s dbus set to manually installed. 1397s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 1397s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1397s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1397s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1398s Reading package lists... 1399s Building dependency tree... 1399s Reading state information... 1402s rng-tools-debian is already the newest version (2.4). 1402s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 1403s Reading package lists... 1405s Building dependency tree... 1405s Reading state information... 1410s haveged is already the newest version (1.9.14-1ubuntu1). 1410s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 1411s Reading package lists... 1413s Building dependency tree... 1413s Reading state information... 1416s The following additional packages will be installed: 1416s libdb5.3t64 libdpkg-perl libgdbm-compat4t64 libperl5.38t64 lto-disabled-list 1416s make perl perl-modules-5.38 1416s Suggested packages: 1416s debian-keyring gcc | c-compiler git bzr make-doc perl-doc 1416s libterm-readline-gnu-perl | libterm-readline-perl-perl 1416s libtap-harness-archive-perl 1416s Recommended packages: 1416s build-essential gcc | c-compiler fakeroot libalgorithm-merge-perl 1416s libfile-fcntllock-perl 1417s The following packages will be REMOVED: 1417s libdb5.3 1417s The following NEW packages will be installed: 1417s dpkg-dev libdb5.3t64 libdpkg-perl libgdbm-compat4t64 libperl5.38t64 1417s lto-disabled-list make perl perl-modules-5.38 1417s 0 upgraded, 9 newly installed, 1 to remove and 0 not upgraded. 1417s Need to get 7257 kB/10.4 MB of archives. 1417s After this operation, 56.1 MB of additional disk space will be used. 1417s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libdb5.3t64 arm64 5.3.28+dfsg2-5 [719 kB] 1418s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libperl5.38t64 arm64 5.38.2-3.2 [4771 kB] 1419s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 perl arm64 5.38.2-3.2 [231 kB] 1419s Get:4 http://ftpmaster.internal/ubuntu noble/main arm64 libdpkg-perl all 1.22.4ubuntu5 [268 kB] 1419s Get:5 http://ftpmaster.internal/ubuntu noble/main arm64 make arm64 4.3-4.1build1 [177 kB] 1419s Get:6 http://ftpmaster.internal/ubuntu noble/main arm64 lto-disabled-list all 47 [12.4 kB] 1419s Get:7 http://ftpmaster.internal/ubuntu noble/main arm64 dpkg-dev all 1.22.4ubuntu5 [1078 kB] 1421s Fetched 7257 kB in 2s (3715 kB/s) 1422s dpkg: libdb5.3:arm64: dependency problems, but removing anyway as you requested: 1422s libsasl2-modules-db:arm64 depends on libdb5.3. 1422s libpython3.12-stdlib:arm64 depends on libdb5.3. 1422s libpython3.11-stdlib:arm64 depends on libdb5.3. 1422s libpam-modules:arm64 depends on libdb5.3. 1422s iproute2 depends on libdb5.3. 1422s apt-utils depends on libdb5.3. 1422s 1422s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 73306 files and directories currently installed.) 1422s Removing libdb5.3:arm64 (5.3.28+dfsg2-4) ... 1423s Selecting previously unselected package libdb5.3t64:arm64. 1423s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 73300 files and directories currently installed.) 1423s Preparing to unpack .../libdb5.3t64_5.3.28+dfsg2-5_arm64.deb ... 1423s Unpacking libdb5.3t64:arm64 (5.3.28+dfsg2-5) ... 1424s Setting up libdb5.3t64:arm64 (5.3.28+dfsg2-5) ... 1424s Selecting previously unselected package perl-modules-5.38. 1424s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 73306 files and directories currently installed.) 1424s Preparing to unpack .../0-perl-modules-5.38_5.38.2-3.2_all.deb ... 1424s Unpacking perl-modules-5.38 (5.38.2-3.2) ... 1429s Selecting previously unselected package libgdbm-compat4t64:arm64. 1429s Preparing to unpack .../1-libgdbm-compat4t64_1.23-5.1_arm64.deb ... 1429s Unpacking libgdbm-compat4t64:arm64 (1.23-5.1) ... 1429s Selecting previously unselected package libperl5.38t64:arm64. 1429s Preparing to unpack .../2-libperl5.38t64_5.38.2-3.2_arm64.deb ... 1430s Unpacking libperl5.38t64:arm64 (5.38.2-3.2) ... 1433s Selecting previously unselected package perl. 1433s Preparing to unpack .../3-perl_5.38.2-3.2_arm64.deb ... 1434s Unpacking perl (5.38.2-3.2) ... 1434s Selecting previously unselected package libdpkg-perl. 1434s Preparing to unpack .../4-libdpkg-perl_1.22.4ubuntu5_all.deb ... 1434s Unpacking libdpkg-perl (1.22.4ubuntu5) ... 1435s Selecting previously unselected package make. 1436s Preparing to unpack .../5-make_4.3-4.1build1_arm64.deb ... 1436s Unpacking make (4.3-4.1build1) ... 1436s Selecting previously unselected package lto-disabled-list. 1436s Preparing to unpack .../6-lto-disabled-list_47_all.deb ... 1436s Unpacking lto-disabled-list (47) ... 1437s Selecting previously unselected package dpkg-dev. 1437s Preparing to unpack .../7-dpkg-dev_1.22.4ubuntu5_all.deb ... 1437s Unpacking dpkg-dev (1.22.4ubuntu5) ... 1439s Setting up lto-disabled-list (47) ... 1439s Setting up libgdbm-compat4t64:arm64 (1.23-5.1) ... 1439s Setting up make (4.3-4.1build1) ... 1439s Setting up perl-modules-5.38 (5.38.2-3.2) ... 1439s Setting up libperl5.38t64:arm64 (5.38.2-3.2) ... 1439s Setting up perl (5.38.2-3.2) ... 1439s Setting up libdpkg-perl (1.22.4ubuntu5) ... 1439s Setting up dpkg-dev (1.22.4ubuntu5) ... 1440s Processing triggers for man-db (2.12.0-3) ... 1443s Processing triggers for libc-bin (2.39-0ubuntu2) ... 1447s Reading package lists... 1449s Building dependency tree... 1449s Reading state information... 1453s The following packages will be REMOVED: 1453s cloud-init* python3-configobj* python3-debconf* 1455s 0 upgraded, 0 newly installed, 3 to remove and 0 not upgraded. 1455s After this operation, 3248 kB disk space will be freed. 1456s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75858 files and directories currently installed.) 1456s Removing cloud-init (24.1-0ubuntu1) ... 1462s Removing python3-configobj (5.0.8-3) ... 1463s Removing python3-debconf (1.5.86) ... 1464s Processing triggers for man-db (2.12.0-3) ... 1466s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75469 files and directories currently installed.) 1466s Purging configuration files for cloud-init (24.1-0ubuntu1) ... 1474s dpkg: warning: while removing cloud-init, directory '/etc/cloud/cloud.cfg.d' not empty so not removed 1474s Processing triggers for rsyslog (8.2312.0-3ubuntu3) ... 1477s Reading package lists... 1479s Building dependency tree... 1479s Reading state information... 1483s linux-generic is already the newest version (6.8.0-11.11+1). 1483s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 1485s Hit:1 http://ftpmaster.internal/ubuntu noble InRelease 1485s Hit:2 http://ftpmaster.internal/ubuntu noble-updates InRelease 1485s Hit:3 http://ftpmaster.internal/ubuntu noble-security InRelease 1485s Hit:4 http://ftpmaster.internal/ubuntu noble-proposed InRelease 1485s Hit:5 http://ftpmaster.internal/ubuntu noble-backports InRelease 1508s Reading package lists... 1509s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 1509s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 1509s Reading package lists... 1511s Building dependency tree... 1511s Reading state information... 1513s Calculating upgrade... 1516s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 1517s Reading package lists... 1518s Building dependency tree... 1518s Reading state information... 1523s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 1524s autopkgtest [02:02:07]: rebooting testbed after setup commands that affected boot 1696s autopkgtest-virt-ssh: WARNING: ssh connection failed. Retrying in 3 seconds... 1730s autopkgtest [02:05:33]: testbed dpkg architecture: arm64 1747s Reading package lists... 1748s Building dependency tree... 1748s Reading state information... 1750s Correcting dependencies...Starting pkgProblemResolver with broken count: 0 1751s Starting 2 pkgProblemResolver with broken count: 0 1751s Done 1752s Done 1754s Starting pkgProblemResolver with broken count: 0 1755s Starting 2 pkgProblemResolver with broken count: 0 1755s Done 1758s The following additional packages will be installed: 1758s autodep8 dctrl-tools libverilog-perl pkg-perl-autopkgtest 1758s Suggested packages: 1758s debtags 1758s The following NEW packages will be installed: 1758s autodep8 dctrl-tools libverilog-perl pkg-perl-autopkgtest 1759s 0 upgraded, 4 newly installed, 0 to remove and 0 not upgraded. 1759s 1 not fully installed or removed. 1759s Need to get 508 kB of archives. 1759s After this operation, 2024 kB of additional disk space will be used. 1759s Get:1 http://ftpmaster.internal/ubuntu noble/universe arm64 libverilog-perl arm64 3.482-1 [411 kB] 1759s Get:2 http://ftpmaster.internal/ubuntu noble/main arm64 dctrl-tools arm64 2.24-3build2 [65.2 kB] 1759s Get:3 http://ftpmaster.internal/ubuntu noble/main arm64 autodep8 all 0.28 [13.2 kB] 1759s Get:4 http://ftpmaster.internal/ubuntu noble/universe arm64 pkg-perl-autopkgtest all 0.77 [18.0 kB] 1762s Fetched 508 kB in 1s (754 kB/s) 1762s Selecting previously unselected package libverilog-perl:arm64. 1762s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75414 files and directories currently installed.) 1762s Preparing to unpack .../libverilog-perl_3.482-1_arm64.deb ... 1762s Unpacking libverilog-perl:arm64 (3.482-1) ... 1762s Selecting previously unselected package dctrl-tools. 1763s Preparing to unpack .../dctrl-tools_2.24-3build2_arm64.deb ... 1763s Unpacking dctrl-tools (2.24-3build2) ... 1763s Selecting previously unselected package autodep8. 1763s Preparing to unpack .../archives/autodep8_0.28_all.deb ... 1763s Unpacking autodep8 (0.28) ... 1763s Selecting previously unselected package pkg-perl-autopkgtest. 1763s Preparing to unpack .../pkg-perl-autopkgtest_0.77_all.deb ... 1763s Unpacking pkg-perl-autopkgtest (0.77) ... 1763s Setting up libverilog-perl:arm64 (3.482-1) ... 1763s Setting up dctrl-tools (2.24-3build2) ... 1763s Setting up autodep8 (0.28) ... 1763s Setting up pkg-perl-autopkgtest (0.77) ... 1763s Setting up autopkgtest-satdep (0) ... 1763s Processing triggers for man-db (2.12.0-3) ... 1785s (Reading database ... 75556 files and directories currently installed.) 1785s Removing autopkgtest-satdep (0) ... 1798s autopkgtest [02:06:41]: test autodep8-perl: /usr/share/pkg-perl-autopkgtest/runner runtime-deps 1798s autopkgtest [02:06:41]: test autodep8-perl: [----------------------- 1802s /usr/share/pkg-perl-autopkgtest/runtime-deps.d/use.t .. 1802s 1..4 1802s ok 1 - /usr/bin/perl -w -M"Verilog::Language" -e 1 2>&1 exited successfully 1802s ok 2 - /usr/bin/perl -w -M"Verilog::Language" -e 1 2>&1 produced no (non-whitelisted) output 1802s ok 3 - env PERL_DL_NONLAZY=1 /usr/bin/perl -w -M"Verilog::Language" -e 1 2>&1 exited successfully 1802s ok 4 - env PERL_DL_NONLAZY=1 /usr/bin/perl -w -M"Verilog::Language" -e 1 2>&1 produced no (non-whitelisted) output 1802s ok 1802s All tests successful. 1802s Files=1, Tests=4, 2 wallclock secs ( 0.10 usr 0.06 sys + 0.78 cusr 0.33 csys = 1.27 CPU) 1802s Result: PASS 1802s autopkgtest [02:06:45]: test autodep8-perl: -----------------------] 1803s autopkgtest [02:06:46]: test autodep8-perl: - - - - - - - - - - results - - - - - - - - - - 1803s autodep8-perl PASS (superficial) 1804s autopkgtest [02:06:47]: test autodep8-perl-recommends: preparing testbed 1963s autopkgtest [02:09:26]: @@@@@@@@@@@@@@@@@@@@ test bed setup 1964s Get:1 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] 1965s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/restricted Sources [3976 B] 1965s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main Sources [433 kB] 1965s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/multiverse Sources [37.3 kB] 1965s Get:5 http://ftpmaster.internal/ubuntu noble-proposed/universe Sources [2621 kB] 1966s Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 Packages [582 kB] 1966s Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 c-n-f Metadata [3144 B] 1966s Get:8 http://ftpmaster.internal/ubuntu noble-proposed/restricted arm64 Packages [20.3 kB] 1966s Get:9 http://ftpmaster.internal/ubuntu noble-proposed/restricted arm64 c-n-f Metadata [116 B] 1966s Get:10 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 Packages [2968 kB] 1966s Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 c-n-f Metadata [8528 B] 1966s Get:12 http://ftpmaster.internal/ubuntu noble-proposed/multiverse arm64 Packages [39.6 kB] 1966s Get:13 http://ftpmaster.internal/ubuntu noble-proposed/multiverse arm64 c-n-f Metadata [116 B] 1975s Fetched 6835 kB in 4s (1671 kB/s) 1976s Reading package lists... 1988s Reading package lists... 1989s Building dependency tree... 1989s Reading state information... 1990s Calculating upgrade... 1992s The following packages were automatically installed and are no longer required: 1992s libgdbm-compat4t64 libperl5.38 lto-disabled-list make perl-modules-5.38 1992s Use 'sudo apt autoremove' to remove them. 1992s The following packages will be REMOVED: 1992s dpkg-dev libdpkg-perl libgdbm-compat4 libgdbm6 perl 1992s The following NEW packages will be installed: 1992s libgdbm-compat4t64 libgdbm6t64 1992s The following packages have been kept back: 1992s libperl5.38 1992s The following packages will be upgraded: 1992s perl-base perl-modules-5.38 1992s 2 upgraded, 2 newly installed, 5 to remove and 1 not upgraded. 1992s Need to get 4928 kB of archives. 1992s After this operation, 4158 kB disk space will be freed. 1992s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 perl-base arm64 5.38.2-3.2 [1777 kB] 1993s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgdbm6t64 arm64 1.23-5.1 [34.3 kB] 1993s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgdbm-compat4t64 arm64 1.23-5.1 [6576 B] 1993s Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 perl-modules-5.38 all 5.38.2-3.2 [3110 kB] 1995s Fetched 4928 kB in 1s (3820 kB/s) 1995s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75856 files and directories currently installed.) 1995s Removing dpkg-dev (1.22.4ubuntu5) ... 1995s Removing libdpkg-perl (1.22.4ubuntu5) ... 1995s Removing perl (5.38.2-3) ... 1995s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75275 files and directories currently installed.) 1995s Preparing to unpack .../perl-base_5.38.2-3.2_arm64.deb ... 1995s Unpacking perl-base (5.38.2-3.2) over (5.38.2-3) ... 1997s Setting up perl-base (5.38.2-3.2) ... 1997s dpkg: libgdbm6:arm64: dependency problems, but removing anyway as you requested: 1997s python3-gdbm:arm64 depends on libgdbm6 (>= 1.16). 1997s man-db depends on libgdbm6 (>= 1.16). 1997s libperl5.38:arm64 depends on libgdbm6 (>= 1.21). 1997s libgdbm-compat4:arm64 depends on libgdbm6 (>= 1.16). 1997s 1997s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75275 files and directories currently installed.) 1997s Removing libgdbm6:arm64 (1.23-5) ... 1997s Selecting previously unselected package libgdbm6t64:arm64. 1997s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75270 files and directories currently installed.) 1997s Preparing to unpack .../libgdbm6t64_1.23-5.1_arm64.deb ... 1997s Unpacking libgdbm6t64:arm64 (1.23-5.1) ... 1997s dpkg: libgdbm-compat4:arm64: dependency problems, but removing anyway as you requested: 1997s libperl5.38:arm64 depends on libgdbm-compat4 (>= 1.18-3). 1997s 1997s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75276 files and directories currently installed.) 1997s Removing libgdbm-compat4:arm64 (1.23-5) ... 1997s Selecting previously unselected package libgdbm-compat4t64:arm64. 1998s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75271 files and directories currently installed.) 1998s Preparing to unpack .../libgdbm-compat4t64_1.23-5.1_arm64.deb ... 1998s Unpacking libgdbm-compat4t64:arm64 (1.23-5.1) ... 1998s Preparing to unpack .../perl-modules-5.38_5.38.2-3.2_all.deb ... 1998s Unpacking perl-modules-5.38 (5.38.2-3.2) over (5.38.2-3) ... 2000s Setting up libgdbm6t64:arm64 (1.23-5.1) ... 2000s Setting up libgdbm-compat4t64:arm64 (1.23-5.1) ... 2000s Setting up perl-modules-5.38 (5.38.2-3.2) ... 2000s Processing triggers for man-db (2.12.0-3) ... 2002s Processing triggers for libc-bin (2.39-0ubuntu2) ... 2003s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2003s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2003s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2004s Reading package lists... 2004s Building dependency tree... 2004s Reading state information... 2006s The following packages will be REMOVED: 2006s libgdbm-compat4t64* libperl5.38* lto-disabled-list* make* perl-modules-5.38* 2007s 0 upgraded, 0 newly installed, 5 to remove and 0 not upgraded. 2007s After this operation, 52.0 MB disk space will be freed. 2007s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75277 files and directories currently installed.) 2007s Removing libperl5.38:arm64 (5.38.2-3) ... 2007s Removing libgdbm-compat4t64:arm64 (1.23-5.1) ... 2007s Removing lto-disabled-list (47) ... 2007s Removing make (4.3-4.1build1) ... 2007s Removing perl-modules-5.38 (5.38.2-3.2) ... 2008s Processing triggers for man-db (2.12.0-3) ... 2008s Processing triggers for libc-bin (2.39-0ubuntu2) ... 2012s sh: Attempting to set up Debian/Ubuntu apt sources automatically 2012s sh: Distribution appears to be Ubuntu 2023s Reading package lists... 2024s Building dependency tree... 2024s Reading state information... 2026s eatmydata is already the newest version (131-1). 2026s dbus is already the newest version (1.14.10-4ubuntu1). 2026s dbus set to manually installed. 2026s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 2026s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2026s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2026s Reading package lists... 2027s Building dependency tree... 2027s Reading state information... 2029s rng-tools-debian is already the newest version (2.4). 2029s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 2029s Reading package lists... 2030s Building dependency tree... 2030s Reading state information... 2032s haveged is already the newest version (1.9.14-1ubuntu1). 2032s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 2032s Reading package lists... 2033s Building dependency tree... 2033s Reading state information... 2034s The following additional packages will be installed: 2034s libdb5.3t64 libdpkg-perl libgdbm-compat4t64 libperl5.38t64 lto-disabled-list 2034s make perl perl-modules-5.38 2034s Suggested packages: 2034s debian-keyring gcc | c-compiler git bzr make-doc perl-doc 2034s libterm-readline-gnu-perl | libterm-readline-perl-perl 2034s libtap-harness-archive-perl 2034s Recommended packages: 2034s build-essential gcc | c-compiler fakeroot libalgorithm-merge-perl 2034s libfile-fcntllock-perl 2035s The following packages will be REMOVED: 2035s libdb5.3 2035s The following NEW packages will be installed: 2035s dpkg-dev libdb5.3t64 libdpkg-perl libgdbm-compat4t64 libperl5.38t64 2035s lto-disabled-list make perl perl-modules-5.38 2035s 0 upgraded, 9 newly installed, 1 to remove and 0 not upgraded. 2035s Need to get 7257 kB/10.4 MB of archives. 2035s After this operation, 56.1 MB of additional disk space will be used. 2035s Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libdb5.3t64 arm64 5.3.28+dfsg2-5 [719 kB] 2035s Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libperl5.38t64 arm64 5.38.2-3.2 [4771 kB] 2037s Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 perl arm64 5.38.2-3.2 [231 kB] 2037s Get:4 http://ftpmaster.internal/ubuntu noble/main arm64 libdpkg-perl all 1.22.4ubuntu5 [268 kB] 2037s Get:5 http://ftpmaster.internal/ubuntu noble/main arm64 make arm64 4.3-4.1build1 [177 kB] 2037s Get:6 http://ftpmaster.internal/ubuntu noble/main arm64 lto-disabled-list all 47 [12.4 kB] 2037s Get:7 http://ftpmaster.internal/ubuntu noble/main arm64 dpkg-dev all 1.22.4ubuntu5 [1078 kB] 2043s Fetched 7257 kB in 2s (3007 kB/s) 2043s dpkg: libdb5.3:arm64: dependency problems, but removing anyway as you requested: 2043s libsasl2-modules-db:arm64 depends on libdb5.3. 2043s libpython3.12-stdlib:arm64 depends on libdb5.3. 2043s libpython3.11-stdlib:arm64 depends on libdb5.3. 2043s libpam-modules:arm64 depends on libdb5.3. 2043s iproute2 depends on libdb5.3. 2043s apt-utils depends on libdb5.3. 2043s 2043s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 73306 files and directories currently installed.) 2043s Removing libdb5.3:arm64 (5.3.28+dfsg2-4) ... 2043s Selecting previously unselected package libdb5.3t64:arm64. 2043s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 73300 files and directories currently installed.) 2044s Preparing to unpack .../libdb5.3t64_5.3.28+dfsg2-5_arm64.deb ... 2044s Unpacking libdb5.3t64:arm64 (5.3.28+dfsg2-5) ... 2045s Setting up libdb5.3t64:arm64 (5.3.28+dfsg2-5) ... 2046s Selecting previously unselected package perl-modules-5.38. 2046s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 73306 files and directories currently installed.) 2046s Preparing to unpack .../0-perl-modules-5.38_5.38.2-3.2_all.deb ... 2046s Unpacking perl-modules-5.38 (5.38.2-3.2) ... 2048s Selecting previously unselected package libgdbm-compat4t64:arm64. 2048s Preparing to unpack .../1-libgdbm-compat4t64_1.23-5.1_arm64.deb ... 2048s Unpacking libgdbm-compat4t64:arm64 (1.23-5.1) ... 2048s Selecting previously unselected package libperl5.38t64:arm64. 2048s Preparing to unpack .../2-libperl5.38t64_5.38.2-3.2_arm64.deb ... 2048s Unpacking libperl5.38t64:arm64 (5.38.2-3.2) ... 2049s Selecting previously unselected package perl. 2049s Preparing to unpack .../3-perl_5.38.2-3.2_arm64.deb ... 2049s Unpacking perl (5.38.2-3.2) ... 2049s Selecting previously unselected package libdpkg-perl. 2049s Preparing to unpack .../4-libdpkg-perl_1.22.4ubuntu5_all.deb ... 2049s Unpacking libdpkg-perl (1.22.4ubuntu5) ... 2050s Selecting previously unselected package make. 2050s Preparing to unpack .../5-make_4.3-4.1build1_arm64.deb ... 2050s Unpacking make (4.3-4.1build1) ... 2050s Selecting previously unselected package lto-disabled-list. 2050s Preparing to unpack .../6-lto-disabled-list_47_all.deb ... 2050s Unpacking lto-disabled-list (47) ... 2050s Selecting previously unselected package dpkg-dev. 2050s Preparing to unpack .../7-dpkg-dev_1.22.4ubuntu5_all.deb ... 2050s Unpacking dpkg-dev (1.22.4ubuntu5) ... 2051s Setting up lto-disabled-list (47) ... 2051s Setting up libgdbm-compat4t64:arm64 (1.23-5.1) ... 2051s Setting up make (4.3-4.1build1) ... 2051s Setting up perl-modules-5.38 (5.38.2-3.2) ... 2051s Setting up libperl5.38t64:arm64 (5.38.2-3.2) ... 2051s Setting up perl (5.38.2-3.2) ... 2051s Setting up libdpkg-perl (1.22.4ubuntu5) ... 2051s Setting up dpkg-dev (1.22.4ubuntu5) ... 2051s Processing triggers for man-db (2.12.0-3) ... 2055s Processing triggers for libc-bin (2.39-0ubuntu2) ... 2057s Reading package lists... 2058s Building dependency tree... 2058s Reading state information... 2060s The following packages will be REMOVED: 2060s cloud-init* python3-configobj* python3-debconf* 2061s 0 upgraded, 0 newly installed, 3 to remove and 0 not upgraded. 2061s After this operation, 3248 kB disk space will be freed. 2061s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75858 files and directories currently installed.) 2061s Removing cloud-init (24.1-0ubuntu1) ... 2063s Removing python3-configobj (5.0.8-3) ... 2064s Removing python3-debconf (1.5.86) ... 2064s Processing triggers for man-db (2.12.0-3) ... 2065s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75469 files and directories currently installed.) 2065s Purging configuration files for cloud-init (24.1-0ubuntu1) ... 2068s dpkg: warning: while removing cloud-init, directory '/etc/cloud/cloud.cfg.d' not empty so not removed 2068s Processing triggers for rsyslog (8.2312.0-3ubuntu3) ... 2069s Reading package lists... 2070s Building dependency tree... 2070s Reading state information... 2072s linux-generic is already the newest version (6.8.0-11.11+1). 2072s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 2073s Hit:1 http://ftpmaster.internal/ubuntu noble InRelease 2073s Hit:2 http://ftpmaster.internal/ubuntu noble-updates InRelease 2073s Hit:3 http://ftpmaster.internal/ubuntu noble-security InRelease 2073s Hit:4 http://ftpmaster.internal/ubuntu noble-proposed InRelease 2073s Hit:5 http://ftpmaster.internal/ubuntu noble-backports InRelease 2085s Reading package lists... 2085s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:1 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:2 and /etc/apt/sources.list.d/ubuntu.sources:1 2085s W: Target Packages (main/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (main/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (main/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (main/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (universe/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (universe/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (universe/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (universe/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (restricted/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (restricted/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (restricted/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (restricted/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (multiverse/binary-arm64/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target Packages (multiverse/binary-all/Packages) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (multiverse/cnf/Commands-arm64) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s W: Target CNF (multiverse/cnf/Commands-all) is configured multiple times in /etc/apt/sources.list:3 and /etc/apt/sources.list.d/ubuntu.sources:2 2085s Reading package lists... 2086s Building dependency tree... 2086s Reading state information... 2087s Calculating upgrade... 2088s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 2088s Reading package lists... 2089s Building dependency tree... 2089s Reading state information... 2091s 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. 2091s autopkgtest [02:11:34]: rebooting testbed after setup commands that affected boot 2251s autopkgtest [02:14:14]: testbed dpkg architecture: arm64 2256s Reading package lists... 2257s Building dependency tree... 2257s Reading state information... 2258s Correcting dependencies...Starting pkgProblemResolver with broken count: 0 2259s Starting 2 pkgProblemResolver with broken count: 0 2259s Done 2259s Done 2260s Starting pkgProblemResolver with broken count: 0 2261s Starting 2 pkgProblemResolver with broken count: 0 2261s Done 2262s The following additional packages will be installed: 2263s autodep8 dctrl-tools libverilog-perl pkg-perl-autopkgtest 2263s Suggested packages: 2263s debtags 2263s The following NEW packages will be installed: 2263s autodep8 dctrl-tools libverilog-perl pkg-perl-autopkgtest 2263s 0 upgraded, 4 newly installed, 0 to remove and 0 not upgraded. 2263s 1 not fully installed or removed. 2263s Need to get 508 kB of archives. 2263s After this operation, 2024 kB of additional disk space will be used. 2263s Get:1 http://ftpmaster.internal/ubuntu noble/universe arm64 libverilog-perl arm64 3.482-1 [411 kB] 2263s Get:2 http://ftpmaster.internal/ubuntu noble/main arm64 dctrl-tools arm64 2.24-3build2 [65.2 kB] 2263s Get:3 http://ftpmaster.internal/ubuntu noble/main arm64 autodep8 all 0.28 [13.2 kB] 2263s Get:4 http://ftpmaster.internal/ubuntu noble/universe arm64 pkg-perl-autopkgtest all 0.77 [18.0 kB] 2265s Fetched 508 kB in 1s (843 kB/s) 2265s Selecting previously unselected package libverilog-perl:arm64. 2265s (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 75414 files and directories currently installed.) 2265s Preparing to unpack .../libverilog-perl_3.482-1_arm64.deb ... 2265s Unpacking libverilog-perl:arm64 (3.482-1) ... 2265s Selecting previously unselected package dctrl-tools. 2265s Preparing to unpack .../dctrl-tools_2.24-3build2_arm64.deb ... 2265s Unpacking dctrl-tools (2.24-3build2) ... 2265s Selecting previously unselected package autodep8. 2265s Preparing to unpack .../archives/autodep8_0.28_all.deb ... 2265s Unpacking autodep8 (0.28) ... 2266s Selecting previously unselected package pkg-perl-autopkgtest. 2266s Preparing to unpack .../pkg-perl-autopkgtest_0.77_all.deb ... 2266s Unpacking pkg-perl-autopkgtest (0.77) ... 2266s Setting up libverilog-perl:arm64 (3.482-1) ... 2266s Setting up dctrl-tools (2.24-3build2) ... 2266s Setting up autodep8 (0.28) ... 2266s Setting up pkg-perl-autopkgtest (0.77) ... 2266s Setting up autopkgtest-satdep (0) ... 2266s Processing triggers for man-db (2.12.0-3) ... 2276s (Reading database ... 75556 files and directories currently installed.) 2276s Removing autopkgtest-satdep (0) ... 2280s autopkgtest [02:14:43]: test autodep8-perl-recommends: /usr/share/pkg-perl-autopkgtest/runner runtime-deps-and-recommends 2280s autopkgtest [02:14:43]: test autodep8-perl-recommends: [----------------------- 2284s /usr/share/pkg-perl-autopkgtest/runtime-deps-and-recommends.d/syntax.t .. 2284s 1..4 2284s ok 1 - Package libverilog-perl is known to dpkg 2284s ok 2 - Got status information for package libverilog-perl 2284s ok 3 - Got file list for package libverilog-perl 2284s # Subtest: all modules in libverilog-perl pass the syntax check 2284s 1..21 2284s ok 1 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/EditFiles.pm exited successfully 2284s ok 2 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Getopt.pm exited successfully 2284s ok 3 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Language.pm exited successfully 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 38. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 51. 2284s # Subroutine netlist redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 55. 2284s # Subroutine _link_guts redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 60. 2284s # Subroutine _link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 79. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 120. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 132. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 152. 2284s # Subroutine new_pin redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 172. 2284s # Subroutine find_pin redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 182. 2284s # Subroutine pins redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 188. 2284s # Subroutine pins_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm line 192. 2284s ok 4 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm exited successfully 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 30. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 40. 2284s # Subroutine netlist redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 44. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 49. 2284s # Subroutine link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 50. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 52. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm line 58. 2284s ok 5 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm exited successfully 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 30. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 40. 2284s # Subroutine netlist redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 44. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 49. 2284s # Subroutine link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 50. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 52. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm line 58. 2284s ok 6 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm exited successfully 2284s # Subroutine new redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 42. 2284s # Subroutine contassign redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 86. 2284s # Subroutine defparam redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 102. 2284s # Subroutine interface redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 118. 2284s # Subroutine modport redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 135. 2284s # Subroutine module redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 152. 2284s # Subroutine program redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 172. 2284s # Subroutine endinterface redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 177. 2284s # Subroutine endmodport redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 182. 2284s # Subroutine endmodule redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 189. 2284s # Subroutine endprogram redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 196. 2284s # Subroutine attribute redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 201. 2284s # Subroutine port redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 223. 2284s # Subroutine var redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 248. 2284s # Subroutine instant redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 297. 2284s # Subroutine endcell redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 316. 2284s # Subroutine parampin redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 322. 2284s # Subroutine pin redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 334. 2284s # Subroutine pinselects redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 341. 2284s # Subroutine keyword redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 378. 2284s # Subroutine comment redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 386. 2284s # Subroutine error redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 407. 2284s # Subroutine warn redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 416. 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 430. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 436. 2284s # Subroutine read redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 441. 2284s # Subroutine link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 484. 2284s # Subroutine _link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 488. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm line 491. 2284s ok 7 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm exited successfully 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 37. 2284s # Subroutine is_top redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 58. 2284s # Subroutine keyword redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 60. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 62. 2284s # Subroutine find_modport redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 66. 2284s # Subroutine find_port redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 71. 2284s # Subroutine find_port_by_index redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 76. 2284s # Subroutine find_cell redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 84. 2284s # Subroutine find_net redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 89. 2284s # Subroutine attrs_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 97. 2284s # Subroutine cells redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 100. 2284s # Subroutine cells_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 103. 2284s # Subroutine modports redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 106. 2284s # Subroutine modports_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 109. 2284s # Subroutine nets redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 112. 2284s # Subroutine nets_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 115. 2284s # Subroutine ports redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 118. 2284s # Subroutine ports_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 121. 2284s # Subroutine ports_ordered redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 124. 2284s # Subroutine nets_and_ports_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 129. 2284s # Subroutine new_net redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 133. 2284s # Subroutine new_attr redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 144. 2284s # Subroutine new_modport redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 150. 2284s # Subroutine new_port redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 158. 2284s # Subroutine new_cell redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 167. 2284s # Subroutine level redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 171. 2284s # Subroutine link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 185. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 202. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 217. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm line 244. 2284s ok 8 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm exited successfully 2284s ok 9 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Logger.pm exited successfully 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 32. 2284s # Subroutine netlist redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 47. 2284s # Subroutine is_top redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 49. 2284s # Subroutine keyword redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 51. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 53. 2284s # Subroutine find_net redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 57. 2284s # Subroutine find_port redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 64. 2284s # Subroutine find_port_by_index redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 69. 2284s # Subroutine attrs_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 78. 2284s # Subroutine nets redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 81. 2284s # Subroutine nets_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 84. 2284s # Subroutine ports redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 87. 2284s # Subroutine ports_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 90. 2284s # Subroutine ports_ordered redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 93. 2284s # Subroutine nets_and_ports_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 98. 2284s # Subroutine new_attr redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 102. 2284s # Subroutine new_net redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 108. 2284s # Subroutine new_port redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 119. 2284s # Subroutine _link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 128. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 136. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 145. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm line 161. 2284s ok 10 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm exited successfully 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 59. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 80. 2284s # Subroutine modulename_from_filename redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 84. 2284s # Subroutine find_port redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 91. 2284s # Subroutine find_port_by_index redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 96. 2284s # Subroutine find_cell redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 106. 2284s # Subroutine find_net redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 111. 2284s # Subroutine attrs_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 119. 2284s # Subroutine nets redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 122. 2284s # Subroutine nets_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 125. 2284s # Subroutine ports redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 128. 2284s # Subroutine ports_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 131. 2284s # Subroutine ports_ordered redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 134. 2284s # Subroutine cells redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 138. 2284s # Subroutine cells_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 141. 2284s # Subroutine statements redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 144. 2284s # Subroutine statements_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 147. 2284s # Subroutine nets_and_ports_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 151. 2284s # Subroutine new_net redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 164. 2284s # Subroutine new_attr redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 190. 2284s # Subroutine new_port redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 196. 2284s # Subroutine new_cell redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 205. 2284s # Subroutine new_contassign redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 225. 2284s # Subroutine new_defparam redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 241. 2284s # Subroutine level redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 257. 2284s # Subroutine link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 271. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 285. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 303. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm line 332. 2284s ok 11 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm exited successfully 2284s # Subroutine new redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 109. 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 117. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 126. 2284s # Subroutine netlist redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 129. 2284s # Subroutine _used_in_inc redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 133. 2284s # Subroutine _used_out_inc redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 134. 2284s # Subroutine _used_inout_inc redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 135. 2284s # Subroutine _used_in_dec redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 136. 2284s # Subroutine _used_out_dec redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 140. 2284s # Subroutine _used_inout_dec redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 144. 2284s # Subroutine stored_lsb redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 149. 2284s # Subroutine width redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 151. 2284s # Subroutine type redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 163. 2284s # Subroutine _link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 181. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 183. 2284s # Subroutine _decls redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 218. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 229. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 244. 2284s # Subroutine dump_drivers redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm line 258. 2284s ok 12 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm exited successfully 2284s # Subroutine new redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 46. 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 66. 2284s # Subroutine netname redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 90. 2284s # Subroutine net redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 94. 2284s # Subroutine nets redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 102. 2284s # Subroutine nets_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 106. 2284s # Subroutine pinselects redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 110. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 114. 2284s # Subroutine module redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 117. 2284s # Subroutine submod redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 120. 2284s # Subroutine netlist redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 123. 2284s # Subroutine _link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 127. 2284s # Subroutine type_match redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 183. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 192. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 221. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm line 250. 2284s ok 13 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm exited successfully 2284s ok 14 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/PinSelection.pm exited successfully 2284s # Subroutine new redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 35. 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 46. 2284s # Subroutine netlist redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 55. 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 57. 2284s # Subroutine type redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 59. 2284s # Subroutine _link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 65. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 97. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 99. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm line 104. 2284s ok 15 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm exited successfully 2284s ok 16 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist/Subclass.pm exited successfully 2284s # Subroutine logger redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 23. 2284s # Subroutine filename redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 24. 2284s # Subroutine lineno redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 25. 2284s # Subroutine new redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 30. 2284s # Subroutine delete redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 55. 2284s # Subroutine link redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 70. 2284s # Subroutine lint redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 93. 2284s # Subroutine verilog_text redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 104. 2284s # Subroutine dump redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 116. 2284s # Subroutine new_module redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 129. 2284s # Subroutine new_root_module redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 144. 2284s # Subroutine defvalue_nowarn redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 153. 2284s # Subroutine remove_defines redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 163. 2284s # Subroutine find_module_or_interface_for_cell redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 178. 2284s # Subroutine find_module redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 185. 2284s # Subroutine modules redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 199. 2284s # Subroutine modules_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 205. 2284s # Subroutine modules_sorted_level redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 211. 2284s # Subroutine top_modules_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 218. 2284s # Subroutine new_interface redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 226. 2284s # Subroutine find_interface redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 239. 2284s # Subroutine interfaces redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 253. 2284s # Subroutine interfaces_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 259. 2284s # Subroutine resolve_filename redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 268. 2284s # Subroutine new_file redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 283. 2284s # Subroutine find_file redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 298. 2284s # Subroutine files redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 305. 2284s # Subroutine files_sorted redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 310. 2284s # Subroutine read_file redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 312. 2284s # Subroutine read_verilog_file redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 318. 2284s # Subroutine read_libraries redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 326. 2284s # Subroutine dependency_in redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 343. 2284s # Subroutine dependency_out redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 348. 2284s # Subroutine dependency_write redefined at /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm line 354. 2284s ok 17 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Netlist.pm exited successfully 2284s ok 18 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Parser.pm exited successfully 2284s ok 19 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Preproc.pm exited successfully 2284s ok 20 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/SigParser.pm exited successfully 2284s ok 21 - /usr/bin/perl -wc /usr/lib/aarch64-linux-gnu/perl5/5.38/Verilog/Std.pm exited successfully 2284s ok 4 - all modules in libverilog-perl pass the syntax check 2284s ok 2284s All tests successful. 2284s Files=1, Tests=4, 4 wallclock secs ( 0.07 usr 0.04 sys + 2.75 cusr 0.76 csys = 3.62 CPU) 2284s Result: PASS 2285s autopkgtest [02:14:48]: test autodep8-perl-recommends: -----------------------] 2285s autopkgtest [02:14:48]: test autodep8-perl-recommends: - - - - - - - - - - results - - - - - - - - - - 2285s autodep8-perl-recommends PASS (superficial) 2286s autopkgtest [02:14:49]: @@@@@@@@@@@@@@@@@@@@ summary 2286s autodep8-perl-build-deps PASS 2286s autodep8-perl PASS (superficial) 2286s autodep8-perl-recommends PASS (superficial) 2297s Creating nova instance adt-noble-arm64-libverilog-perl-20240311-013643-juju-7f2275-prod-proposed-migration-environment-3 from image adt/ubuntu-noble-arm64-server-20240310.img (UUID c166432c-3f89-460f-aeaa-f7e9d80d14b5)... 2297s Creating nova instance adt-noble-arm64-libverilog-perl-20240311-013643-juju-7f2275-prod-proposed-migration-environment-3 from image adt/ubuntu-noble-arm64-server-20240310.img (UUID c166432c-3f89-460f-aeaa-f7e9d80d14b5)... 2297s Creating nova instance adt-noble-arm64-libverilog-perl-20240311-013643-juju-7f2275-prod-proposed-migration-environment-3 from image adt/ubuntu-noble-arm64-server-20240310.img (UUID c166432c-3f89-460f-aeaa-f7e9d80d14b5)...